搜索资源列表

  1. jianpan_vhdl

    0下载:
  2. 用VHDL实现的键盘扫描程序 可以稍微修改就可使用-using VHDL keyboard scanning procedure can be slightly modified to use
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:172032
    • 提供者:金军
  1. key_scan

    0下载:
  2. 程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware descr iption language (VHDL) to achieve : 4* 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:308224
    • 提供者:刘赛
  1. uCOS-II--149

    0下载:
  2. 参考网上的提供的代码,我把uCosII移植到MSP430f149上,分三个任务,分别是485通讯,键盘扫描,LED显示,可供参考!-online reference provided by the code, and I uCosII MSP430f149 transplant, in three tasks, namely, 485, keyboard scan, LED display, for example!
  3. 所属分类:uCOS开发

    • 发布日期:2024-05-13
    • 文件大小:153600
    • 提供者:亮亮
  1. VHDL 程序举例

    0下载:
  2. VHDL经典编成程序。有大概100个程序。包括键盘扫描等。- these are typical program of VHDL.there are almost 100 pieces of program.including program about keyboard scanning.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:68608
    • 提供者:林玉儿
  1. c51源程序库

    0下载:
  2. C51的程序库,包含了读写卡、LED驱动、4*4键盘扫描等子程序-C51 libraries, which includes a card reader, LED drive, 4* 4 keyboard scan subroutine
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:38912
    • 提供者:fbs
  1. ELEC_LOCK

    0下载:
  2. 4位电子密码锁,带键盘扫描、按键防抖动、LCD驱动编译码-four electronic password lock with a keyboard scan button shake, LCD driver encryption
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:xf
  1. C51key

    0下载:
  2. 键盘扫描程序,可以用来对4*4矩阵键盘进行扫描。C语言程序-keyboard scanning procedure can be used to 4* 4 matrix keyboard scan. C Programming Language
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:吴松
  1. lcdjamllj

    0下载:
  2. 串口通信及液晶显示,键盘扫描。一个完整的单片机运行程序-serial communications and LCD, keyboard scan. A complete SCM operational procedures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:4096
    • 提供者:
  1. ex05_keyscan

    0下载:
  2. 单片机键盘扫描程序,请朋友们试试,如果需要更多程序,请合我联系-SCM keyboard scanning procedures, please friends try, if need more procedures, please contact me Hopewell
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:18432
    • 提供者:WANG
  1. key_xf

    0下载:
  2. 键盘扫描程序,汇编代码,比较简单,总共两个源文件-keyboard scan, assembler code, a simple comparison, a total of two source files
  3. 所属分类:驱动编程

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:龙一
  1. Keybd

    0下载:
  2. 键盘扫描的例子,包括了按键滤波和长按检测-keyboard scan examples, including a key long by filtering and detection
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:xh
  1. 4×4keyboard

    0下载:
  2. 凌阳单片机4*4键盘扩展源代码及原理电路(PDF格式),包括键盘扫描初始化,键盘扫描服务函数,以及键盘防抖动处理程序。-Sunplus Single-chip 4* 4 keyboard to expand the source code and the principle of the circuit (PDF format), including the keyboard scan initialization, keyboard
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:248832
    • 提供者:姜平
  1. KEYSCAN

    0下载:
  2. 单片机键盘扫描 单片机键盘扫描 单片机键盘扫描-SCM keyboard scanning keyboard scan SCM SCM SCM keyboard scanning keyboard scan
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:郭斐志
  1. 2051KBD

    0下载:
  2. 键盘扫描程序,精心创造,好用无比,欢迎下载啊-keyboard scanning procedures, meticulously create, unparalleled ease of use, welcome to download ah
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:7168
    • 提供者:缪德芳
  1. LED1SCH2

    0下载:
  2. 自编的一个遥控码/P0口做4*4键盘扫描,识别.ASM 遥控码和键盘码在串口接8只8字管显示-self yards of a remote control/I do P0 4* 4 keyboard scanning, recognition. ASM remote control code and serial code in the keyboard then eight eight characters Display
  3. 所属分类:软件工程

    • 发布日期:2024-05-13
    • 文件大小:3072
    • 提供者:shen
  1. canlender

    1下载:
  2. 凌阳61单片机万年历程序,内含键盘扫描,动态显示。-procedures SCM hefty almanac containing the keyboard scan, dynamic display.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:50176
    • 提供者:蒲永博
  1. keyboard590

    0下载:
  2. 基于max plus2的ahdl语言。这个是键盘扫描程序的ahdl。文件格式是tdf。可以扫描键盘。-based on the max plus2 ahdl language. This is the keyboard ahdl scanning procedures. File format is TDF. Scan the keyboard.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:wenwen
  1. VHDL5

    0下载:
  2. 加法器 乘法器电路 除法器电路设计 键盘扫描电路设计 显示电路-Adder multiplier circuit divider circuit design keyboard scan circuit design show circuit, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:6144
    • 提供者:
  1. KBD

    0下载:
  2. 这是一个键盘扫描程序,具体说明看程序,不会不认识英文吧-This is a keyboard scanning procedures, specify procedures to see, does not understand it in English
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:mike
  1. vhdl-com

    0下载:
  2. 一些vhdl的常用程序,包括键盘扫描-instantiate some common procedures, including scanning keyboard, etc
  3. 所属分类:并口编程

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:阿飞
« 1 2 34 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org