搜索资源列表

  1. wave0001

    0下载:
  2. 在MAX-PLUS下设计的函数消耗发生器,波形有正弦波、方波、三角拨、锯齿波(用键盘选择),信号频率可调(用键盘调节)-the MAX-PLUS design of the consumption function generator, a sine wave, square, triangle area and Sawtooth (keyboard), in signal frequency adjustable (keyboard
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:133120
    • 提供者:曹海学
  1. dds_vhdl

    0下载:
  2. dds的vhdl实现,主要包括正弦波、三角波和锯齿波的产生-dds achieve the VHDL, including sine, triangle wave, and the selection ramp
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:xxx
  1. boxing

    0下载:
  2. 在VB环境下实现正弦波、方波、锯齿波、三角波等波形的转化-in VB environment under sine, square, ramp, triangle wave, such as waveform conversion
  3. 所属分类:波变换

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:刘为
  1. C8051_DA_test

    0下载:
  2. 摘 要: 本文件是C8051单片机DA测试实验程序;使用外部22.1184MHz晶振. 功能:定义 A ~ F 为功能键。 按"A" 键,输出250HZ的方波,按"B" 键,输出250HZ的正弦波形,按"C" 键,输出250HZ的三角波, 按"D" 键,输出250HZ的锯齿波。用示波器在J6(DAC0)观测结果,使用串口观测按键信息。-Abstract : This document is C8051 MCU DA lab
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:61440
    • 提供者:
  1. DA_lyang

    0下载:
  2. 实现正弦波、三角波、锯齿波三种波形输.用3个按键实现不同波形的输出.适用于初学者作为入门的程序.-achieve sine, triangle wave, sawtooth waveform three losers. used three different keys to achieve the output waveform. apply to beginners as induction procedures.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:52224
    • 提供者:kevin
  1. w06

    0下载:
  2. 介绍一种基于单片机控制的多功能直流稳压电源的设计方案。该电源简单易用 ,精度高、成 本低 ,可以用作信号发生器 ,产生输出正弦波、方波、三角波、锯齿波等多种波形信号 通过软件升级很容 易实现功能扩展。-introduced a microcontroller-based control of the multi-purpose DC power supply design. The Power simple to use, hi
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:81920
    • 提供者:万红军
  1. DA

    0下载:
  2. DA代码 经测试通过的c8051f020 DA代码 内有锯齿波, 正弦波, 三角波等产生的代码... -DA code has been tested and adopted c8051f020 DA code, there are sawtooth, sine wave, triangular wave generated by code such as ...
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-19
    • 文件大小:17408
    • 提供者:qiumh
  1. box

    0下载:
  2. 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。-VHDL language using FPGA-based waveform generator, using the procedures quartusII. 1602 liquid crystal display can show the current wav
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1708032
    • 提供者:zhg
  1. juchibo

    0下载:
  2. 实验十一 数/模转换器 一、实验目的 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 二、实验内容 1、实验电路原理如图11-1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),利用debug输出命令(Out 290 数据)输出数据 给DAC0832,用万用表测量单极性输出端Ua及双极性输出端Ub的电压,验证数字与电压之间的线性关系。 2、编程产生以下波形(从Ub输出,
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:18432
    • 提供者:wind
  1. dac0832driver

    0下载:
  2. 基于DAC0832的多功能波形发生器,可以输出正弦波,方波,锯齿波,三角波-DAC0832-based multifunction waveform generator can output sine wave, square wave, sawtooth wave, triangle wave
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:xuhua
  1. DDS_all

    0下载:
  2. 这个是相当不错的EDA编程,是电子设计大赛准备期间我引以为自豪的一个,能产生正弦,余弦,方波(可调占空比),三角波,锯齿波以及各种叠加波形,可以自行设置。-The EDA is a very good programming, is the Electronic Design Competition during the preparation I was proud to one capable of producing sine,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2234368
    • 提供者:谢飞
  1. DAC0832

    0下载:
  2. 信号发生器,,可以产生三角波,方波,锯齿波,用51单片机来控制实现-Signal generator, can generate the triangular wave, square wave, sawtooth wave, with 51 single-chip microcomputer to control the realization of
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:277504
    • 提供者:葛永强
  1. boxingfashengqi

    0下载:
  2. 用DAC0832产生锯齿波、三角波我们鉴于实现了基本功能的基础上,扩展了使频率可调,调频范围为1—9999Hz。我们采用3*4键盘作为产生0—9的数字以及2个功能键(选择三角波和锯齿波-DAC0832 generated by sawtooth, triangle wave, we realize the basic functions of the light on the basis of the expanded so that
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:
  1. shiyanbaogao5

    0下载:
  2. 课程名称: 接口技术及应用 实验名称:D/A转换实验(一) 实验目的 了解数/模转换的基本原理,掌握DAC0832芯片的使用方法。 实验内容 利用0832通过D/A转换交替产生方波和锯齿波。-Course Title: Technology and Application Interface Experiment name: D/A conversion experiment (a) the experiment w
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:72704
    • 提供者:guowei
  1. 0522

    0下载:
  2. 自己今年的毕业设计DDS波形发生器,有正弦波,方波,三角波,锯齿波.-Their own design this year
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1375232
    • 提供者:dawn
  1. AD9833

    0下载:
  2. 高精度可编程波形发生器程序,可以用DDS芯片AD9833产生20MHZ的方波,正弦波,锯齿波等-Procedures for high-precision programmable waveform generator can be used to DDS chip AD9833 produced 20Mhz square wave, sine wave, sawtooth wave, etc.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-19
    • 文件大小:48128
    • 提供者:曾小信
  1. dds_bate4[1].1

    0下载:
  2. 在quartus软件下用VHDL语言实现DDS,可产生正弦,余弦,方波,三角波以及锯齿波。-In the Quartus software using VHDL language realize DDS, can generate sine, cosine, square, triangle and sawtooth waves.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3014656
    • 提供者:崔浩然
  1. SourceCode

    0下载:
  2. 生成非标视频行场同步信号及锯齿波驱动电机(Generating non-standard video line field synchronous signal and sawtooth wave drive motor)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:1sdfesdfs
  1. juchibo

    0下载:
  2. 试编程应用DAC0832输出锯齿波如图所示,锯齿波的频率为1000HZ(即周期为1ms),系统晶振为12MHZ。(假设DAC0832的芯片地址为A000H)(As shown in the DAC0832 output sawtooth wave, the frequency of the sawtooth wave is 1000HZ (that is, the period is 1ms), and the system cryst
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:11264
    • 提供者:枫叶。。
  1. saw

    0下载:
  2. 使用verilog语言实现锯齿波的产生,完美调试成功(The use of Verilog language to produce sawtooth waves)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:8192
    • 提供者:落魄小书童
« 1 2 34 5 6 7 8 9 10 ... 35 »

源码中国 www.ymcn.org