搜索资源列表

  1. DAconversion

    0下载:
  2. 这是一个由51单片机控制的数模转换器(DAC0832),可已输出正弦波,方波,阶梯波,三角波等模拟信号-This is a 51 MCU control of the Digital to Analog (DAC0832). sine wave output can be had, square, ladder wave, triangular wave signal simulation
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3181
    • 提供者:kuangaj
  1. sinwave

    0下载:
  2. 用verilog HDL产生正弦阶梯波。加da即可输出正弦波
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1251
    • 提供者:chenmao
  1. xinhaoyuan

    0下载:
  2. 利用51系列单片机实现四种波形,正弦波、方拨、阶梯波、三角波,采用开关电路实现四种波形转换、放大电路实现波形幅度可调。通过编程实现仿真。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:20615
    • 提供者:best313
  1. da_max538

    0下载:
  2. PIC单片机控制12位高速串行DA(max538)产生阶梯波
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1341
    • 提供者:bertha
  1. DAC0832产生6种波形

    0下载:
  2. DAC0832产生6种波形(三角波,方波,锯齿波,正弦波,梯形波,阶梯波)的课程设计,汇编语言

  3. 所属分类:其它文档

    • 发布日期:2009-01-03
    • 文件大小:144361
    • 提供者:teedy999
  1. 波形发生器VHDL语言

    0下载:
  2. 能产生正弦波,三角波,方波,阶梯波,并且频率可调..
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-03-25
    • 文件大小:417860
    • 提供者:519201374
  1. DAconversion

    0下载:
  2. 这是一个由51单片机控制的数模转换器(DAC0832),可已输出正弦波,方波,阶梯波,三角波等模拟信号-This is a 51 MCU control of the Digital to Analog (DAC0832). sine wave output can be had, square, ladder wave, triangular wave signal simulation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:kuangaj
  1. sinwave

    0下载:
  2. 用verilog HDL产生正弦阶梯波。加da即可输出正弦波-Using verilog HDL ladder generated sine wave. Da can increase the output sine wave
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:chenmao
  1. xinhaoyuan

    0下载:
  2. 利用51系列单片机实现四种波形,正弦波、方拨、阶梯波、三角波,采用开关电路实现四种波形转换、放大电路实现波形幅度可调。通过编程实现仿真。-51 Series MCU realize the use of four types of waveforms, sine, square dial, ladder wave, triangle wave, the use of switching circuits to achieve the
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:20480
    • 提供者:best313
  1. da_max538

    0下载:
  2. PIC单片机控制12位高速串行DA(max538)产生阶梯波-PIC SCM 12 high-speed serial DA (max538) ladder generated wave
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:bertha
  1. jietifangbo

    0下载:
  2. 用计数器来完成阶梯拨程序设计以及方波的程序设计-With counters to complete the ladder as well as the allocation of programming procedures square design
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:29696
    • 提供者:小草
  1. DAC0832

    0下载:
  2. DAC0832实现锯齿波,三角波,方波,正弦波,阶梯波,梯形波的汇编源码-DAC0832 achieve sawtooth, triangle wave, square wave, sine wave, staircase wave, trapezoidal wave source compilation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:18432
    • 提供者:TEEDY
  1. jiyuVHDLyuyandehanshuxinghaofashengqi

    0下载:
  2. 好用的函数信号发生器,能产生多种波形,例如,正弦波,方波,锯齿波,阶梯波。-Useful function signal generator, can produce a variety of waveforms, for example, sine wave, square wave, sawtooth, wave ladder.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:sdfs
  1. VHDL

    0下载:
  2. 能够产生方波、三角波、正弦波、及阶梯波,并且可以通过选择开关选择相应的频率输出和波形输出;通过按键确定输出的波形及确定是否输出波形.-Able to generate square wave, triangle wave, sine wave, and wave ladder, and can select the appropriate choice of switching frequency output and the wave
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-17
    • 文件大小:417792
    • 提供者:刘镜安
  1. wave

    0下载:
  2. 本程序采用的VHDL语言,分别实现:递增锯齿波递减锯齿波 三角波 阶梯波 方波正弦波 数据选择器.-This procedure used in VHDL language, respectively, to achieve: increased sawtooth ladder descending sawtooth wave square wave triangle wave sine wave data selector.
  3. 所属分类:绘图程序

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:程冬水
  1. DAC0832

    0下载:
  2. 设计一个函数信号发生器,具体指标如下: 1 采用AT89S51及DAC0832设计函数信号发生器; 2 输出函数信号为正弦波或三角波或阶梯波; 3 输出信号频率为100Hz,幅度0-10V可调; 4 必须具有信号输出及外接电源、公共地线接口 -Design a function signal generator, the specific indicators as follows: 1 using AT89S51
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:592896
    • 提供者:郭晨
  1. clock

    0下载:
  2. 基于VHDL的函数信号发生器,可输出方波,阶梯波,三角波,正铉波,用示波器观察-VHDL-based function of the signal generator can output a square wave, step-wave, triangle wave, positive-hyun waves observed with an oscilloscope
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:3197952
    • 提供者:niha
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:519168
    • 提供者:心心
  1. DrawTest

    0下载:
  2. 实现波形输出,可以成功运行,输出阶梯波形-Realize the waveform output, you can run successfully, the output stepped waveform
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:1056768
    • 提供者:lee
  1. DAC0832

    0下载:
  2. 信号发生器 可生成阶梯波 方波 三角波 正弦波 频率和幅度可调 1602显示 proteus+C51源码(Jie Tibo can generate triangular square wave sine wave Adjustable frequency and magnitude 1602 display Proteus+C51 source code)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:116736
    • 提供者:xuzhengqing
« 12 3 »

源码中国 www.ymcn.org