搜索资源列表

  1. shuzipinlvji

    0下载:
  2. 四位十进制数码显示、量程自动转换的数字频率计。-four decimal digital display, automatic conversion range of frequency meter.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:6144
    • 提供者:文文
  1. dengjingdupinlv

    0下载:
  2. 等精度测频原理的频率计程序与仿真。。希望大家能用的到撒-such precision frequency measurement principles of Cymometer procedures and simulation. . Hope everyone can withdraw to the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:122880
    • 提供者:代松洮
  1. fraq

    0下载:
  2. 基于VHDL语言的频率计具有高速计频,体积小的特点-based on VHDL or with the frequency or high frequency, small size characteristics
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:496640
    • 提供者:肖建华
  1. (2)

    1下载:
  2. 基于AT89C2051单片机的频率计设计的软件编程程序-based on the frequency meter design software programming procedures
  3. 所属分类:C#编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:
  1. 24wei10jinzhiPINLVJI

    0下载:
  2. 可编程逻辑设计的程序!24位十进制频率计!可使EDA实验年箱测量指定频率!-programmable logic design process! 24 metric Cymometer! EDA will experiment, measurement designated frequency bins!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:201728
    • 提供者:张生
  1. pinlvjipinlv

    1下载:
  2. 高精度频率计,我调试过,非常好用,直接用KEIE C开发,欢迎大家指正-precision frequency meter, I tuned, very convenient, direct KEIE C development, we welcome correction
  3. 所属分类:Ftp客户端

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:abram
  1. digitalsystemDesign

    0下载:
  2. 第7章数字系统设计实例 7.1 半整数分频器的设计 7.2 音乐发生器 7.3 2FSK/2PSK信号产生器 7.4 实用多功能电子表 7.5 交通灯控制器 7.6 数字频率计-Chapter 7 Digital System Design Example 7.1-integer dividers designed Music Generator 7.2 7.3 2F SK/2PSK Signal Generat
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:446464
    • 提供者:李唐
  1. Frequency_counter

    0下载:
  2. VHDL语言写的频率计的程序,内带完整的技术报告-VHDL write the frequency of procedures, brought integrity of the technical report
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:317440
    • 提供者:刘西圣
  1. cymometer

    0下载:
  2. vhdl 实现的频率计,可以到实验箱上实现.-vhdl achieve the frequency, it can be to achieve experimental box.
  3. 所属分类:书籍源码

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:yly
  1. sound_card

    0下载:
  2. 声卡数字频率计.该程序是标准的Windows界面,界面友好,以黑色背景红色大号字体显示频率值,具有醒目、程序简洁和测量精度高的特点-Sound Card digital frequency meter. The procedure is the standard Windows interface, the interface is friendly. red and black background tuba font frequen
  3. 所属分类:Audio

    • 发布日期:2024-05-09
    • 文件大小:121856
    • 提供者:邓斌
  1. Danpianji_JiekouJiShu

    0下载:
  2. 《单片机接口技术实用子程序》配套源代码 包括:232,485,SPI总线,IIC,CAN,flash,16位AD7715,时钟日历芯片PCF8563的应用,等精度数字频率计的实现,直流电机的闭环和闭环控制等经典原代码!-"microcontroller interface technology practical subroutine" complementary source code include : 23
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:428032
    • 提供者:唐进
  1. 2G

    0下载:
  2. 一个分辩率达1Hz的2G频率计, mcs51单片几设计-1Hz up to a resolution for the 2G frequency meter, several single-chip design mcs51
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-09
    • 文件大小:21504
    • 提供者:wlm
  1. keil_and_Proteus_plj

    0下载:
  2. 一个自己用keil和Proteus设计的C51频率计代码,与大家一同分享! ~_~-a keil own use and design of the C51 Proteus Cymometer code share with you! ~ _ ~
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:41984
    • 提供者:
  1. dpjplj

    0下载:
  2. 本单片机设计的数字频率计 能够准确测量频率为1HZ-15MHZ,适用为方波,三角波及正弦波,可在人为的 用拨位开关在测量周期,频率及占空比之间转换,频率精度为1HZ,周期精度为 0.1微秒,占空比计时精度为0.1微秒。 -microcontroller design of the digital frequency meter can be accurately measured frequency 1HZ- 15MHZ.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:109568
    • 提供者:tang
  1. yuanli1

    0下载:
  2. 智能单片机频率计的原理与设计 频率测量仪器在生产和科研的各个部门使,也是某些大型系统的重要组成部分;利用单片机的定时功能设计的信号频率测量仪,可单独使用,也可方便嵌人系统中.介绍了该频率测量仪的测量原理、硬件结构和软件设计等。-intelligent frequency of SCM principles and design frequency measurement equipment in the production and
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:106496
    • 提供者:艾明
  1. pinlvji

    0下载:
  2. 基于FPGA的数字频率计,超大范围测量,误差非常之小,内含详细程序-FPGA-based digital frequency meter super scope of measurement, the error is very small, containing detailed procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:45056
    • 提供者:刘嵘
  1. 9.4_PULSE_FRE

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.4 脉冲频率的测量与显示   9.4.1 脉冲频率的测量原理   9.4.2 频率计的工作原理   9.4.3 频率测量模块的设计与实现   9.4.4 while循环语句的使用方法   9.4.5 门控信号发生模块的设计与实现   9.4.6 频率计的Verilog-HDL描述   9.4.7 频率计的硬件实现 -based on V
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:宁宁
  1. vhdl-examples

    0下载:
  2. 这是eda初学者可以借鉴的两个关于电子频率计的VHDL设计实例-This is the EDA beginners can learn from two of electronic Cymometer VHDL Design Example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:11264
    • 提供者:刘磊
  1. kechengshixi

    0下载:
  2. 我暑假课程设计做的题目,电子频率计的设计 包括源码和电路图 还有实物照片-I do summer course design topics, frequency of electronic design including source code and circuit diagrams still kind Photos
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:1815552
    • 提供者:刘磊
  1. pljc51

    0下载:
  2. 自己写的频率计显示部分,,,与fpja通信使用即可实现1——10M的频率测量-himself wrote the frequency meter shows, and, fpja communications and can be realized using 1-- Frequency Measurement 10M
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:shjy
« 1 2 3 4 56 7 8 9 10 ... 50 »

源码中国 www.ymcn.org