搜索资源列表

  1. p2s

    0下载:
  2. 并串转换模块,内含有另个.vhd文件。一个是自己写的比较简单 另一个是参考的。-And the string conversion module, which contains another one. Vhd file. One is its relatively simple to write the other is the reference.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:329728
    • 提供者:wukun
  1. rs232

    0下载:
  2. 串口232程序,实现并串转换及相应的操作-Serial 232 program, to achieve and string conversion and the corresponding operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:mofanvshen

源码中国 www.ymcn.org