搜索资源列表

  1. MAX_UFM

    0下载:
  2. Altera epm240 的ufm调用。-Altera epm240 the UFM call.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:226304
    • 提供者:Potossas
  1. altera_avalon_checksum

    0下载:
  2. altera 的示例ip,不太容易找到的,对于学习Nios2有帮助-altera example ip, is not easy to find help for learning Nios2
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:13312
    • 提供者:林茂
  1. intro_to_quartus2_chinese

    0下载:
  2. Altera公司器件的开发工具QuartusII的中文用户手册,不错的哦-Altera Corporation devices development tools QuartusII the Chinese user manuals, oh well
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:3915776
    • 提供者:zhaozhu
  1. DE2_Synthesizer

    1下载:
  2. 利用此源碼可以使用ALTERA DE2開發板將鍵盤變成一部電子琴-Use this source code can use the ALTERA DE2 development board will be turned into an electric piano keyboard
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:109568
    • 提供者:林哲
  1. DE2_Web_Server

    0下载:
  2. ALTERA DE2開發板一個網路晶片DM9000A的應用範例 並將一個網頁嵌入到DE2開發板中-ALTERA DE2 development board DM9000A a network chip and the application example of a web page embedded into the DE2 development board in
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2750464
    • 提供者:林哲
  1. cosine_IP

    0下载:
  2. altera 的cosine函数 ip 核-altera the cosine function ip nuclear
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:李涛
  1. i2c_IP

    0下载:
  2. altera 的i2c ip核,可直接调用 在quartus中把库指向文件位置就可-altera the i2c ip nuclear, can be directly called in the Quartus point in the database file location can be
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:7168
    • 提供者:李涛
  1. uart_IP

    0下载:
  2. altera 的uart ip核,可直接调用 在quartus中把库指向文件位置就可-altera the uart ip nuclear, can be directly called in the Quartus point in the database file location can be
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:李涛
  1. vga_IP

    0下载:
  2. altera 的vga ip核,可直接调用 在quartus中把库指向文件位置就可-altera the vga ip nuclear, can be directly called in the Quartus point in the database file location can be
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:李涛
  1. de2_vga

    0下载:
  2. altera de2开发板上的vga控制器源码-the development of altera de2 board vga controller source
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:158720
    • 提供者:李涛
  1. ram

    0下载:
  2. fpga中ram的vhdl的经典程序,适用于ALTERA公司器件-FPGA in VHDL ram the classic procedure, applicable to the company ALTERA devices
  3. 所属分类:中间件编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:gcy
  1. NIOS_new

    0下载:
  2. 基于Altera Cyclone系列FPGA的NIOS II开发板原理图,OrCAD格式-Based on the Altera Cyclone series FPGA-NIOS II development board schematic, OrCAD format
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-15
    • 文件大小:617472
    • 提供者:
  1. 15AlteraDEIP

    0下载:
  2. 15个Altera的IP的源码.15个Altera的IP的源码-15 Altera s IP source .15 months of Altera s IP source
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:49152
    • 提供者:11
  1. C2H_Training

    0下载:
  2. altera 公司的c2h的培训资料c2h_training-altera companies C2H training materials c2h_training
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:359424
    • 提供者:guobo
  1. H1wQqGvI

    0下载:
  2. 详细介绍了ALTERA器件的IP CORE以及如何使用SDR SDRAM CONTROL-Described in detail ALTERA device IP CORE and how to use SDR SDRAM CONTROL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:777216
    • 提供者:黄辉辉
  1. USBBlaster

    0下载:
  2. 兼容ALTERA公司的USBBlaster下载线的原理图和PCB文件。非常珍贵,今天割爱了-ALTERA compatible with the company s USBBlaster download line schematic and PCB documents. Very precious, today afford to spare the
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:1647616
    • 提供者:在路上
  1. Nios

    0下载:
  2. Nios II 处理器中文小册子(altera) NIOS开发板APEX20K版数据手册 niosii资料-软件开发文档 北航NIOS教程
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:7777280
    • 提供者:付茗
  1. SDRAM

    0下载:
  2. ALTERA SDR AM Controller White Paper
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-15
    • 文件大小:658432
    • 提供者:付茗
  1. i2c_altera

    0下载:
  2. I2C总线控制器 altera公司提供VHDL实现代码-I2C bus controller altera companies realize VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1598464
    • 提供者:张庆顺
  1. AlteraDE2NET

    0下载:
  2. altera公司开发板上关于基于FPGA实现网络协议的硬件描述-altera developed on-board FPGA based on the realization of network protocols, hardware descr iption
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:538624
    • 提供者:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org