搜索资源列表

  1. multiplier

    0下载:
  2. 在MAXPLUSII下实现BOOTH算法,可以进行任意位K×K的乘法-BOOTH algorthim implemented in the MAXPLUSII environment, which can carry out arbitrary bits multiplication.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:147456
    • 提供者:
  1. 定点运算器

    0下载:
  2. 实现二进制定点运算: 1.定点整数补码加法 2.定点整数补码减法 3.定点小数Booth补码一位乘法 4.定点小数原码一位除法(加减交替法) 5.定点小数补码一位除法(加减交替法) 6.定点小数原码一位乘法 7.定点小数原码两位乘法 8.定点整数原码乘法 9.定点整数原码除法-achieve binary fixed point operations : 1. Sentinel integra
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-20
    • 文件大小:359424
    • 提供者:陈婷
  1. 用VHDL实现布斯算法

    0下载:
  2. 这个例子是讲述用VHDL实现布斯算法,应该有点用,是我的研究生师哥给我的。-this case is about the use of VHDL Booth algorithm, should use a bit of my graduate students Shige to me.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:刘于
  1. booth_mul

    0下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols/unsigned multiplication of the number of binary multipliers.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:19456
    • 提供者:*
  1. Booth_Multiplier

    0下载:
  2. 布斯乘法器的VHDL程序,下載後直接解壓縮複製貼上到你的EDATOOL就可以.-Booth multiplier VHDL procedures downloaded directly extract copy affixed to the EDATOOL you can.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:韓堇
  1. b30core

    0下载:
  2. asm.rar 拉斯维加丝盘源代码 ASM51-asm.rar booth 21001-source Calling
  3. 所属分类:uCOS开发

    • 发布日期:2024-05-20
    • 文件大小:117760
    • 提供者:1
  1. BoothMultiplier

    0下载:
  2. -- Booth Multiplier -- This file contains all the entity-architectures for a complete -- k-bit x k-bit Booth multiplier. -- the design makes use of the new shift operators available in the VHDL-93 std -- this des
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:罗兰
  1. Lab20

    0下载:
  2. the booth algorithm to implement the 32bits multiplication.-the booth algorithm to implement the 32bit 's multiplication.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:56320
    • 提供者:王琪
  1. 16bit_booth_multiplier_STG

    0下载:
  2. verilog程序,实现两个16bit数乘法,采用booth算法,基于状态机实现,分层次为datapath和controller两个子模块,testBench测试通过-verilog procedures, two 16bit multiplication, the algorithm used booth. Based on the state machine achieved at different levels for data
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:
  1. dingdianchengfaqisheji

    0下载:
  2. 目录: 0、 约定 1、 无符号数一位乘法 2、 符号数一位乘法 3、 布思算法(Booth algorithm) 4、 高基(High Radix)布思算法 5、 迭代算法 6、 乘法运算的实现——迭代 7、 乘法运算的实现——阵列 8、 乘加运算 9、 设计示例1 —— 8位、迭代 1、 实现方案1 —— 一位、无符号 2、 实现方案2 —— 一位、布思 3、 实
  3. 所属分类:软件工程

    • 发布日期:2024-05-20
    • 文件大小:381952
    • 提供者:少华
  1. float_mul

    0下载:
  2. booth 乘法器 不同于传统的算法实现-booth multiplier is different from the traditional algorithm
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:
  1. Booth_encoder

    0下载:
  2. 为提高乘法运算速度本设计采用Booth算法,Booth编码算法的优点有两个:一是减少了部分积的个数;二是可同时适用于有符号数运算和无符号数运算。-To improve the speed of multiplication using the Booth algorithm design, Booth encoding algorithm has two advantages: First, to reduce the number o
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:周涛
  1. vhdl

    0下载:
  2. 用VHDL语言编写的一个乘法器校程序 是基于BOOTH算法的 -VHDL language using a multiplier BOOTH school program is based on the algorithm
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:杨天
  1. multiplier

    0下载:
  2. booth乘法器: 16*16有符号乘法器,Booth编码,简单阵列,Ripple Carry Adder-booth multiplier:
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:chenyi
  1. 16_multi

    0下载:
  2. 16*16有符号乘法器的  编码方式:Booth编码,  拓扑结构:简单阵列  加法器:Ripple Carry Adder-16* 16 multiplier symbols have the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:30720
    • 提供者:chenyi
  1. Low_power_Modified_Booth_Multiplier

    0下载:
  2. 主題 : Low power Modified Booth Multiplier 介紹 : 為了節省乘法器面積、加快速度等等,許多文獻根據乘法器中架構提出改進的方式,而其中在1951年,A. D. Booth教授提出了一種名為radix-2 Booth演算法,演算法原理是在LSB前一個位元補上“0”,再由LSB至MSB以每兩個位元為一個Group,而下一個Group的LSB會與上一個Group的MSB重疊(overlap),G
  3. 所属分类:汇编语言

    • 发布日期:2024-05-20
    • 文件大小:14336
    • 提供者:stanly
  1. mul_booth

    0下载:
  2. 基于BOOTH的32位快速乘法器的设计源码-BOOTH-based 32-bit fast multiplier design source
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:df
  1. xapp371

    0下载:
  2. xilinx里的乘法器ip核程序,booth乘法 wallace tree算法 4-2压缩编码 超前进位加法-Xilinx multiplier ip
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-20
    • 文件大小:87040
    • 提供者:王凯
  1. multiply

    0下载:
  2. 这是我用verilog hdl语言写的浮点乘法器,用的是基4的booth算法,对于部分积使用了5-2压缩和3-2压缩,欢迎大家指点,也欢迎大家把它改成流水线以提高速度.-This is my verilog hdl language used to write floating-point multiplier, using a Radix-4 algorithm for the booth for part of the plot u
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-05-20
    • 文件大小:4096
    • 提供者:lanty
  1. radix4_multiplier

    0下载:
  2. 54x54-bit Radix-4 Multiplier based on Modified Booth Algorithm
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:750592
    • 提供者:汤江逊
« 1 23 4 5 6 7 8 9 10 ... 15 »

源码中国 www.ymcn.org