搜索资源列表

  1. VGA DE2

    0下载:
  2. DE2 VGA
  3. 所属分类:VHDL编程

    • 发布日期:2009-09-20
    • 文件大小:44909
    • 提供者:pppccc
  1. vga显示程序

    0下载:
  2. 这是基于fpga的VGA图像显示控制
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-04-03
    • 文件大小:125473
    • 提供者:lt3037
  1. vga

    0下载:
  2. VGA控制
  3. 所属分类:其他嵌入式/单片机内容

  1. 基于FPGA的VGA图像控制器的设计与实现

    1下载:
  2. 基于FPGA的VGA图像控制器
  3. 所属分类:报告论文

    • 发布日期:2010-10-21
    • 文件大小:289707
    • 提供者:dengcheng12
  1. VGA显示原理与VGA时序实现

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2010-12-14
    • 文件大小:145408
    • 提供者:hyj_48@163.com
  1. VGA视频线焊接通用方法

    0下载:
  2. VGA视频线焊接通用方法
  3. 所属分类:其他书籍

    • 发布日期:2010-12-17
    • 文件大小:95594
    • 提供者:endure
  1. VGA 256灰阶的显示

    0下载:
  2. VGA 256灰阶的显示VGA 256灰阶的显示VGA 256灰阶的显示
  3. 所属分类:其它

  1. DVI接口和VGA接口有什么作用和区别

    0下载:
  2. DVI接口和VGA接口有什么作用和区别
  3. 所属分类:编程文档

    • 发布日期:2012-03-12
    • 文件大小:247296
    • 提供者:chitru
  1. VGA显示

    0下载:
  2. VGA的VHDL语言
  3. 所属分类:串口编程

    • 发布日期:2012-05-08
    • 文件大小:1781
    • 提供者:gengfei
  1. zgv-5.6

    0下载:
  2. zgv-5.6,一个Linux系统下的图片浏览器(VGA/SVGA)-zgv-5.6, under a Linux system picture browser (VGA/SVGA)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-10
    • 文件大小:579584
    • 提供者:陈艳
  1. 显示bmp图像的程序

    0下载:
  2. 640*480 256 色 .BMP 文件显示程序,可以浏览、同时显示 4 幅图象,也可以 在屏幕上开 一个窗口显示 .BMP 图象,并可以使用上下左右箭头键、PageUp/PageDown、Ctrl+Left、Ctr l+Right键浏览整幅图象,在S3、ATI等VGA卡上 调试通过-640* 480256 color. BMP file display program can browse, also showed four ima
  3. 所属分类:GDI/图象编程

    • 发布日期:2024-05-10
    • 文件大小:4096
    • 提供者:郭指挥
  1. vgaout

    0下载:
  2. VHDL语言按VGA接口标准把数字图像信号转换成标准VGA格式。适合做学习试验-VHDL by VGA interface standards, digital image signal conversion into a standard VGA format. Suitable for the pilot study
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:7168
    • 提供者:余飞
  1. VGA_Core

    0下载:
  2. 用VHDL语言写的VGA核心,是个很好很齐全的核心,有很多功能.-write VHDL VGA core, is a very good subset of the core, has a lot of functions.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:359424
    • 提供者:朱思华
  1. videoshift

    0下载:
  2. videoshift.rar—HHARM9200.rar 华恒AT91rm9200 VGA和LCD切换的代码-videoshift.rar- HHARM9200.rar huaheng AT91rm9200 VGA and LCD code switch
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:张晓东
  1. color_bar

    0下载:
  2. 使用vhdl语言在altera公司的up3板上产生vga信号,里面有详细的解析和说明,是一个很好的教程。-use of the VHDL language ALTERA company's board up3 have vga signal containing a detailed analysis and explanation is a good guide.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:10240
    • 提供者:石坚
  1. razzle

    1下载:
  2. 使用vhdl语言在altera公司的up3板上产生vga信号,里面有详细的解析和说明,是一个很好的教程。和上一个文件razzle差不多,但是产生的效果不一样。-use of the VHDL language ALTERA company's board up3 have vga signal containing a detailed analysis and explanation is a good guide. And
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:10240
    • 提供者:石坚
  1. vgacolor

    0下载:
  2. vga编程。实现3种模式的vga控制,分别产生横彩条,竖彩条,棋格彩条的显示-vga programming. Realization of the three-mode vga control, generate horizontal color of the color of the shaft, and the chess grid color of the show
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:张建
  1. vhdl_vga

    0下载:
  2. 彩条信号发生器使用说明 使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤: 1. 打开电源+5V 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 将彩色显示器的线与VGA接口连接好。 5. 彩条信号就可以在显示器中产生,通过脉冲沿模块按键MS1可以改变产生彩条的 -color of the signal generator for u
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:95232
    • 提供者:刘浪
  1. an_s3c2410a_0524

    0下载:
  2. winceS3C2410的最新BSP,支持VGA和多串口-winceS3C2410 the latest BSP support VGA and serial number
  3. 所属分类:Windows编程

    • 发布日期:2024-05-10
    • 文件大小:44032
    • 提供者:罗西
  1. useful1

    0下载:
  2. 图形加速引擎1.3版,VGA/SVGA图形加速库 UNICORN 图形开发 TC 源代码库 TURTLE 图形开发命令系统 TC 源代码-graphics acceleration engine version 1.3, VGA/SVGA graphics acceleration for UNICORN graphical development TC source code for orders TURTLE graphic
  3. 所属分类:GDI/图象编程

    • 发布日期:2024-05-10
    • 文件大小:306176
    • 提供者:jason
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »

源码中国 www.ymcn.org