搜索资源列表

  1. uclinux.vga.driver

    0下载:
  2. UCLINUX2.6核下的vga驱动。基于fr a mebuffer机理。硬件设计采用基于FPGA的软核NIOSII设计。-UCLINUX2.6 the upper vga driver. Based on the mechanism of the fr a mebuffer. Hardware design using FPGA-based soft-core NIOSII design.
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-10
    • 文件大小:4096
    • 提供者:Ray ZH
  1. vga

    0下载:
  2. vhdl经典源代码——vga控制,入门者必须掌握-vhdl classical source code-- vga control, beginners must master
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:801792
    • 提供者:jeffery
  1. VGA

    0下载:
  2. VGA Component for Altera SOPC Builder on DE2
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-10
    • 文件大小:518144
    • 提供者:李大同
  1. vga

    0下载:
  2. vga接口,摄像头,数码相框,都用到哦,快下载吧-vga interface, camera, digital photo fr a me, are used Oh, quick to download it
  3. 所属分类:技术管理

    • 发布日期:2024-05-10
    • 文件大小:2982912
    • 提供者:linchan
  1. vga

    0下载:
  2. 程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号-Realize the function of the procedure is displayed on the monitor in the VGA color stripes, a total of eight kinds of colors, you can use the embedded logic analyzer obse
  3. 所属分类:书籍源码

    • 发布日期:2024-05-10
    • 文件大小:6144
    • 提供者:bayernb
  1. VGA

    0下载:
  2. 电源:稳压的+5V电源,电流小于300mA。 视频输入:RGB+HSYNC+VSYNC信号,取自VGA卡,刷新率与NTSC标准兼容。 视频输出:混合视频和S-视频(Y/C)。 支持的视频标准:PAL B、G、H和NTSCM。 电路要求VGA卡能发送与PAL或NTSC标准视频时序兼容的RGB格式视频信号。 -Power: 5 V regulated power supply current of less th
  3. 所属分类:文件格式

    • 发布日期:2024-05-10
    • 文件大小:32768
    • 提供者:
  1. VGA

    0下载:
  2. 在Altera公司NIOS IDE开发中控制VGA显示,运行通过,可以直接移植,-Altera Corporation in the NIOS IDE development control VGA display, running through, can be directly transplanted
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:罗生
  1. VGA

    0下载:
  2. 用来实现VGA发生时序,显示颜色,用CPLD实现-Used to realize the occurrence VGA timing, display color, with CPLD realize
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:312320
    • 提供者:
  1. vga

    0下载:
  2. VHDL书写VGA源码,可用于FPGA和CPLD-VGA source code written in VHDL can be used for FPGA and CPLD
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:29696
    • 提供者:yuekun
  1. vga

    0下载:
  2. 一个VHDL产生的VGA彩条信号程序,希望可以对你有帮助!-VHDL generated by a VGA color signal process, I hope you can help!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:193536
    • 提供者:白杨
  1. VGA

    0下载:
  2. 利用fpga控制VGA显示,很实用的,对于初学FPGA的同志,有帮助。-FPGA to control the use of VGA display, it is useful for the beginner FPGA comrades, helpful.
  3. 所属分类:图形图象

    • 发布日期:2024-05-10
    • 文件大小:438272
    • 提供者:杜菲
  1. VGA.txt

    0下载:
  2. 基于FPGA的VGA显示器彩条发生器 是必备的VGA原码控制-FPGA-based color VGA display generator is an essential source control VGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:王伟
  1. VGA

    0下载:
  2. VGA显示的例子(VHDL语言),实现彩条显示,按键reset实现切换功能。-VGA display example (VHDL language), to achieve color display, reset button switch function to achieve.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:342016
    • 提供者:侯典华
  1. CPLD-VGA

    0下载:
  2. 有关verilog的硬件实现VGA设计的代码。-On the Verilog hardware design realize VGA code.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:233472
    • 提供者:qqq
  1. VGA

    0下载:
  2. VGA的IP核,下载即可用,解压到指定目录下就可以了,参照里面的read me.-VGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:23552
    • 提供者:王鹏
  1. vga

    0下载:
  2. xilinx 开发板程序,VGA控制显示-Xilinx development board procedures, VGA display control
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:167936
    • 提供者:zhang
  1. vga

    0下载:
  2. 在cyclone2芯片上实现VGA解决方案,可以将模拟示波器波形在电脑上显示。也可以储存波形文件来显示 -In cyclone2 chip VGA solutions, Analog Oscilloscope waveforms can be displayed on the computer. Can also be stored waveform file to display
  3. 所属分类:Windows编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:王忠
  1. VGA

    0下载:
  2. FPGA驱动VGA接口显示字符 -FPGA-driven interface VGA display characters
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:随风
  1. VGA

    0下载:
  2. 基于FPGA的VGA显示接口的研究与设计-FPGA-based VGA Display Interface Research and Design
  3. 所属分类:电子书籍

    • 发布日期:2024-05-10
    • 文件大小:194560
    • 提供者:xixi
  1. VGA

    0下载:
  2. 这个试验要配合EDA4.0底板一起使用.先将核心板插在EDA4.0底板上面,然后接上VGA显示器. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色; 3。具体设计参考代码。 -The pilot should be used in conjunction with the backplane EDA4.0. First core plate
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:58368
    • 提供者:Jak
« 1 23 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org