搜索资源列表

  1. vga

    0下载:
  2. VGA的时序及相关代码,通过它可以实现视频的VGA显示-the timing and vhdl code of vga.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:421888
    • 提供者:chenhao
  1. VGA

    0下载:
  2. 应用VEROLOG HDL编写的VGA的IP核,可用于SOPC BUILDER中-the control of the i2c bus
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:518144
    • 提供者:jack ming
  1. verilog-vga

    0下载:
  2. Verilgo编写的VGA显示接口示例程序, 在显示器上显示矩形彩条, 包含Quartus II 8.1工程文件及VGA的相当资料(PDF及WORD文档)-Verilgo prepared VGA display interface sample program, the color of the rectangle on the display, including the Quartus II 8.1 project file an
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1771520
    • 提供者:Joseph
  1. vga

    0下载:
  2. VGA显示控制:时序控制+像素点的颜色处理显示十字光标(vorilog)-VGA Display Control: Timing Control+ pixel color processing and display cross cursor (vorilog)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1024
    • 提供者:111111
  1. VGA

    0下载:
  2. VERILOG编写的VGA实验例程,包括整个工程,可以直接使用-VERILOG VGA written test routines, including the whole project, can be used directly
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:581632
    • 提供者:xuzunlei
  1. Verilog-vga

    0下载:
  2. 基于Verilog的VGA显示汉字、字符的例子以及vga资料-Verilog' s VGA display Chinese characters based on the character of the examples and information vga
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1349632
    • 提供者:江平
  1. VGA

    0下载:
  2. 可以实现VGA显示器的汉字和字符显示,已经编译成功,可以直接使用-VGA monitor can display Chinese characters and character have been successfully compiled, you can directly use! ! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:45056
    • 提供者:江平
  1. VGA-Controller

    0下载:
  2. FPGA do vga display controller. achieve include: fifo mem, vga core, rgb controller,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:7168
    • 提供者:Aleks
  1. vga

    0下载:
  2. 基于QuartusII 6.0 环境的vga驱动程序,所用芯片为EP1C6Q240C8,开发板时钟50M,显示模式800*600,72Hz,内容是在频幕显示几条直线。-Environment based on QuartusII 6.0 vga drivers, the chips for the EP1C6Q240C8, development board clock 50M, the display mode 800* 600,72
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:220160
    • 提供者:x_metal
  1. VGA-protocol

    0下载:
  2. VGA implementation in VHDL documentation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:74752
    • 提供者:Asrar
  1. VGA

    0下载:
  2. Xilinx sparten3E VGA显示控制程序-Xilinx sparten3E VDisplay and control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:1247232
    • 提供者:huangfeng
  1. design-of-the-VGA-controler

    0下载:
  2. 基于SOPC环境下,使用VGA控制器的设计实现,以文档的形式为大家详细的讲述了设计过程,本文通俗易懂,是初学者必选资料-SOPC-based environment, using the VGA controller design and implementation, in order for everyone in the form of the document describes in detail the design pro
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:72704
    • 提供者:yuanshengrong
  1. VGA-time-

    0下载:
  2. VGA 信号时序详解,方便了解VGA信号原理,有助开发视频相关编程-VGA signal explain. The convenience understood that the VGA signal principle, is helpful to develop the video frequency related programming
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:73728
    • 提供者:jasonlau
  1. AT89C2051-driver-VGA

    0下载:
  2. 51单片机驱动VGA显示器,玩方块游戏. 整板测试完毕,基本满意。-51 Microprocessor VGA display, play the box game
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-10
    • 文件大小:65536
    • 提供者:ASU
  1. VGA

    0下载:
  2. 基于VHDL语言的VGA显示控制程序,可以在1440x900分辨率的显示器上显示-Based on VHDL VGA display control program in 1440x900 resolution display on the monitor
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:王雷
  1. vga-pic

    0下载:
  2. pic code for vga simulation
  3. 所属分类:中间件编程

    • 发布日期:2024-05-10
    • 文件大小:2048
    • 提供者:ehsan
  1. DE2-VGA-LED

    0下载:
  2. verilog HDL 语言编写的,FPGA的数码管和VGA的显示。调用时不必修改源码,只需引脚映射对就可以-verilog HDL language, FPGA digital and VGA display. Call without having to modify source code, you can just pin on the map
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:5793792
    • 提供者:
  1. vga

    0下载:
  2. verilog通过VGA显示一个汉字,800X600@72Hz-verilog character through a VGA display, 800X600 @ 72Hz
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:7168
    • 提供者:刘欣
  1. VGA.ZIP

    0下载:
  2. draw vga in assembly
  3. 所属分类:汇编语言

    • 发布日期:2024-05-10
    • 文件大小:5120
    • 提供者:diegosym
  1. 19-VGA

    0下载:
  2. fpga 的VGA接口代码,可以显示fpga内置代码的显示部分,适合VGA开发测试。-source code for vedio signal
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-10
    • 文件大小:321536
    • 提供者:张北京
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org