资源列表
排序选择:
[书籍源码] 用matlab求伪Wigner-Ville分布
说明:用matlab求伪Wigner-Ville分布(Using the pseudo Wigner-Ville distribution with MATLAB)<辛海涛 > 在 2025-07-13 上传 | 大小:7kb | 下载:0
[微处理器(ARM/PowerPC等)] lu
说明:16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)<nodgd > 在 2025-07-13 上传 | 大小:798kb | 下载:0
[数据挖掘] nttwork_card-designphase
说明:多模式匹配算法——AC算法 参考文献:AC算法:Aho A V,Corasick M J.Efficient string()<segmgnte > 在 2025-07-13 上传 | 大小:6kb | 下载:0
[其他小程序] Kohonen的SOFM(自组织特征映射)源程序
说明:sofm算法的简单实现,供作学习参考使用,学习中(Simple implementation of SOFM algorithm)<aacc007 > 在 2025-07-13 上传 | 大小:28kb | 下载:0
[人工智能/神经网络/遗传算法] gfovd
说明:多目标进化算法NSGA2的c++源代码,需要自习阅读代码的注释,要执行程序要在源代码内添加目标函数和约束条件,()<zoice > 在 2025-07-13 上传 | 大小:176kb | 下载:0
[其他小程序] SDP Solution API Reference (Subscribe, SOAP)
说明:SDP Solution API Reference (Subscribe, SOAP)<ericegloh > 在 2025-07-13 上传 | 大小:368kb | 下载:0