资源列表

排序选择:

« 1 2 ... .72 .73 .74 .75 .76 90677.78 .79 .80 .81 .82 ... 267498 »

[编译器/词法分析cffx

说明:用C语言编制一个词法分析程序,加深对词法分析原理的理解-Use of C language procedures for the preparation of a lexical analysis, lexical analysis deepen understanding of the principles
<王新> 在 2024-07-27 上传 | 大小:1024 | 下载:0

[Internet/网络编程aspsupdate320

说明:文件批量上传客户端免费组件 基于FLASH制作,所以使用者不会要求下载组件 丰富的设置 可以在上传前就进行文件类型和文件大小的检测,节省宽带 -Bulk upload file client free FLASH based on the production of components, so users will not require a rich component set to download can be
<李东海> 在 2024-07-27 上传 | 大小:19456 | 下载:0

[单片机(51,AVR,MSP430等)baojing

说明:间断声光报警信号试验 报警时有滴滴滴的声音提示以及发光提示-Intermittent sound and light warning alarm signal when the pilot' s voice prompts DDD, as well as LED prompt
<姬芳> 在 2024-07-27 上传 | 大小:8192 | 下载:0

[数据结构常用算法Frankmp3

说明:里面关于开发MP3的驱动的一个方案,里面有详细的开发过程和原代码啊-MP3 inside the drive on the development of a program, which detailed the development process and the original code ah
<fangjing> 在 2024-07-27 上传 | 大小:1378304 | 下载:0

[Internet/网络编程lianliankan319

说明:51连连看FLASH小游戏系统 2009 使用说明: 后台登陆地址:http://www.xxx.com/Superstar.Asp 默认登陆账号:Admin 默 认 密 码: 123456 -51 Lianliankan FLASH game system for use in 2009: background landing Address: http://www.xxx.com/Superstar.Asp defa
<李东海> 在 2024-07-27 上传 | 大小:133120 | 下载:0

[matlab例程simulink

说明:matlab电力电子仿真图(直流电动机调速系统仿真实验)-matlab simulink with power electronic technologe
<keyson> 在 2024-07-27 上传 | 大小:339968 | 下载:0

[VHDL编程modelnum02.book

说明:Very good vhdl book.
<globis> 在 2024-07-27 上传 | 大小:1474560 | 下载:0

[单片机(51,AVR,MSP430等)music

说明:一个按键选择播放六首音乐 可以随时中断 -Select a button to play music at any time six interrupted
<姬芳> 在 2024-07-27 上传 | 大小:20480 | 下载:0

[Internet/网络编程papaguestbook319

说明:粑粑工作室留言本 本留言本系统采用asp+access数据结构,使用方便,无需安装,放到服务器空间即可使用。 独立皮肤文件,皮肤文件放到skin文件夹中。 拥有50多个超级漂亮的头像可供选择。本留言本程序简单,操作简单方便,适合二次修改开发。 -Message Studio Message粑粑books using the system asp+ access data structure, easy to use,
<李东海> 在 2024-07-27 上传 | 大小:180224 | 下载:0

[软件工程Transportationcontrollight

说明:这是一个数字逻辑的课程设计报告,包含源码,实现交通灯系统。-This is a digital logic design report of the curriculum, including the source code to achieve the traffic light system.
<limo> 在 2024-07-27 上传 | 大小:46080 | 下载:0

[其他小程序1-1

说明:实验内容: 设计一个一元多项式的简单计算器,其基本功能有:①输入并建立多项式;②输出多项式;③多项式相加;④多项式相减;⑤多项式的乘积(选作)。可利用单链表或单循环表实现。-Experiment content: the design of a one dollar a simple polynomial calculator, and its basic functions: ① the importation and the
<郭光祖> 在 2024-07-27 上传 | 大小:2048 | 下载:0

[MySQL数据库mysql_cn_sc

说明:
<zhao> 在 2024-07-27 上传 | 大小:447488 | 下载:0
« 1 2 ... .72 .73 .74 .75 .76 90677.78 .79 .80 .81 .82 ... 267498 »

源码中国 www.ymcn.org