文件名称:Design_and_Test_VerilogHDL

  • 所属分类:
  • 其它资源
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2008-10-13
  • 文件大小:
  • 1.8mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • Z*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

Design and Test_Verilog HDL——EDA先锋工作室《设计与验证—Verilog HDL》配书源代码,很多使用的实例,并有说明,是学习Verilog 不可多得的好资料。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 113172214design_and_test_veriloghdl.rar 列表
Example-2-1\HelloVlog.v
Example-3-1\FullAdd.v
Example-3-1\transcript
Example-3-2\FullAdd.v
Example-3-3\CRC10.v
Example-4-1\cnt.prd
Example-4-1\cnt.prj
Example-4-1\rev_1\cnt1.edf
Example-4-1\rev_1\cnt1.fse
Example-4-1\rev_1\cnt1.srm
Example-4-1\rev_1\cnt1.srr
Example-4-1\rev_1\cnt1.srs
Example-4-1\rev_1\cnt1.tlg
Example-4-1\rev_1\cnt2.edf
Example-4-1\rev_1\cnt2.fse
Example-4-1\rev_1\cnt2.srm
Example-4-1\rev_1\cnt2.srr
Example-4-1\rev_1\cnt2.srs
Example-4-1\rev_1\cnt2.tlg
Example-4-1\rev_1\cnt3.edf
Example-4-1\rev_1\cnt3.fse
Example-4-1\rev_1\cnt3.srm
Example-4-1\rev_1\cnt3.srr
Example-4-1\rev_1\cnt3.srs
Example-4-1\rev_1\cnt3.tlg
Example-4-1\rev_1\syntmp\cnt1.plg
Example-4-1\rev_1\syntmp\cnt2.msg
Example-4-1\rev_1\syntmp\cnt2.plg
Example-4-1\rev_1\syntmp\cnt3.msg
Example-4-1\rev_1\syntmp\cnt3.plg
Example-4-1\source\cnt1.v
Example-4-1\source\cnt2.v
Example-4-1\source\cnt3.v
Example-4-1\source\syntmp.msg
Example-4-1\示例说明.doc
Example-4-4\reg_counter.prd
Example-4-4\reg_counter.prj
Example-4-4\reg_counter.v
Example-4-4\rev_2\reg_counter.edf
Example-4-4\rev_2\reg_counter.fse
Example-4-4\rev_2\reg_counter.ncf
Example-4-4\rev_2\reg_counter.srd
Example-4-4\rev_2\reg_counter.srm
Example-4-4\rev_2\reg_counter.srr
Example-4-4\rev_2\reg_counter.srs
Example-4-4\rev_2\reg_counter.tlg
Example-4-4\rev_2\rpt_reg_counter.areasrr
Example-4-4\rev_2\rpt_reg_counter_areasrr.htm
Example-4-4\rev_2\syntmp\reg_counter.msg
Example-4-4\rev_2\syntmp\reg_counter.plg
Example-4-4\rev_2\verif\reg_counter.vif
Example-4-4\sim\reg_counter.v
Example-4-4\source\reg_counter.v
Example-4-4\示例说明.doc
Example-4-7\clock_edge.prd
Example-4-7\clock_edge.prj
Example-4-7\clock_edge.v
Example-4-7\rev_2\clock_edge.edn
Example-4-7\rev_2\clock_edge.fse
Example-4-7\rev_2\clock_edge.prf
Example-4-7\rev_2\clock_edge.srm
Example-4-7\rev_2\clock_edge.srr
Example-4-7\rev_2\clock_edge.srs
Example-4-7\rev_2\clock_edge.tlg
Example-4-7\rev_2\generic.fse
Example-4-7\rev_2\generic.srd
Example-4-7\rev_2\syntmp\clock_edge.msg
Example-4-7\rev_2\syntmp\clock_edge.plg
Example-4-7\sim\clock_edge.v
Example-4-7\sim\clock_edge_tb.v
Example-4-7\sim\sim_clock_edge.cr.mti
Example-4-7\sim\sim_clock_edge.mpf
Example-4-7\sim\transcript
Example-4-7\sim\vsim.wlf
Example-4-7\sim\wave.do
Example-4-7\sim\work\_info
Example-4-7\sim\work\clock_edge\_primary.dat
Example-4-7\sim\work\clock_edge\_primary.vhd
Example-4-7\sim\work\clock_edge\verilog.asm
Example-4-7\sim\work\clock_edge_tb\_primary.dat
Example-4-7\sim\work\clock_edge_tb\_primary.vhd
Example-4-7\sim\work\clock_edge_tb\verilog.asm
Example-4-7\source\clock_edge.v
Example-4-7\source\clock_edge_tb.v
Example-4-7\syntmp.msg
Example-4-7\示例说明.doc
Example-4-8\decode_cmb.prd
Example-4-8\decode_cmb.prj
Example-4-8\decode_cmb.v
Example-4-8\decode_cmb2.v
Example-4-8\rev_2\decode_cmb.edn
Example-4-8\rev_2\decode_cmb.fse
Example-4-8\rev_2\decode_cmb.prf
Example-4-8\rev_2\decode_cmb.srm
Example-4-8\rev_2\decode_cmb.srr
Example-4-8\rev_2\decode_cmb.srs
Example-4-8\rev_2\decode_cmb.tlg
Example-4-8\rev_2\decode_cmb2.edn
Example-4-8\rev_2\decode_cmb2.fse
Example-4-8\rev_2\decode_cmb2.prf
Example-4-8\rev_2\decode_cmb2.srm
Example-4-8\rev_2\decode_cmb2.srr
Example-4-8\rev_2\decode_cmb2.srs
Example-4-8\rev_2\decode_cmb2.tlg
Example-4-8\rev_2\generic.fse
Example-4-8\rev_2\generic.srd
Example-4-8\rev_2\syntmp\decode_cmb.plg
Example-4-8\rev_2\syntmp\decode_cmb2.msg
Example-4-8\rev_2\syntmp\decode_cmb2.plg
Example-4-8\sim\decode_cmb.cr.mti
Example-4-8\sim\decode_cmb.mpf
Example-4-8\sim\decode_cmb.v
Example-4-8\sim\decode_cmb2.v
Example-4-8\sim\decode_cmb_tb.v
Example-4-8\sim\transcript
Example-4-8\sim\vsim.wlf
Example-4-8\sim\work\_info
Example-4-8\sim\work\decode_cmb\_primary.dat
Example-4-8\sim\work\decode_cmb\_primary.vhd
Example-4-8\sim\work\decode_cmb\verilog.asm
Example-4-8\sim\work\decode_cmb2\_primary.dat
Example-4-8\sim\work\decode_cmb2\_primary.vhd
Example-4-8\sim\work\decode_cmb2\verilog.asm
Example-4-8\sim\work\decode_cmb_tb\_primary.dat
Example-4-8\sim\work\decode_cmb_tb\_primary.vhd
Example-4-8\sim\work\decode_cmb_tb\verilog.asm
Example-4-8\source\decode_cmb.v
Example-4-8\source\decode_cmb2.v
Example-4-8\source\decode_cmb_tb.v
Example-4-8\示例说明.doc
Example-4-10\bibus\bibus.prd
Example-4-10\bibus\bibus.prj
Example-4-10\bibus\bibus.v
Example-4-10\bibus\decode.v
Example-4-10\bibus\rev_1\bibus.fse
Example-4-10\bibus\rev_1\bibus.srd
Example-4-10\bibus\rev_1\bibus.srm
Example-4-10\bibus\rev_1\bibus.srr
Example-4-10\bibus\rev_1\bibus.srs
Example-4-10\bibus\rev_1\bibus.sxr
Example-4-10\bibus\rev_1\bibus.tcl
Example-4-10\bibus\rev_1\bibus.tlg
Example-4-10\bibus\rev_1\bibus.vqm
Example-4-10\bibus\rev_1\bibus.xrf
Example-4-10\bibus\rev_1\bibus_cons.tcl
Example-4-10\bibus\rev_1\bibus_rm.tcl
Example-4-10\bibus\rev_1\rpt_bibus.areasrr
Example-4-10\bibus\rev_1\rpt_bibus_areasrr.htm
Example-4-10\bibus\rev_1\syntmp\bibus.msg
Example-4-10\bibus\rev_1\syntmp\bibus.plg
Example-4-10\bibus\rev_1\syntmp\bibus_cons_ui.tcl
Example-4-10\bibus\rev_1\verif\bibus.vif
Example-4-10\bibus\syntmp.msg
Example-4-10\complex_bibus\complex_bibus.prd
Example-4-10\complex_bibus\complex_bibus.prj
Example-4-10\complex_bibus\complex_bibus.v
Example-4-10\complex_bibus\complex_bibus2.v
Example-4-10\complex_bibus\counter.v
Example-4-10\complex_bibus\decode.v
Example-4-10\complex_bibus\rev_1\AutoConstraint_complex_bibus.sdc
Example-4-10\complex_bibus\rev_1\complex_bibus.fse
Example-4-10\complex_bibus\rev_1\complex_bibus.srd
Example-4-10\complex_bibus\rev_1\complex_bibus.srm
Example-4-10\complex_bibus\rev_1\complex_bibus.srr
Example-4-10\complex_bibus\rev_1\complex_bibus.srs
Example-4-10\complex_bibus\rev_1\complex_bibus.sxr
Example-4-10\complex_bibus\rev_1\complex_bibus.tcl
Example-4-10\complex_bibus\rev_1\complex_bibus.tlg
Example-4-10\complex_bibus\rev_1\complex_bibus.vqm
Example-4-10\complex_bibus\rev_1\complex_bibus.xrf
Example-4-10\complex_bibus\rev_1\complex_bibus2.fse
Example-4-10\complex_bibus\rev_1\complex_bibus2.srd
Example-4-10\complex_bibus\rev_1\complex_bibus2.srm
Example-4-10\complex_bibus\rev_1\complex_bibus2.srr
Example-4-10\complex_bibus\rev_1\complex_bibus2.srs
Example-4-10\complex_bibus\rev_1\complex_bibus2.sxr
Example-4-10\complex_bibus\rev_1\complex_bibus2.tcl
Example-4-10\complex_bibus\rev_1\complex_bibus2.tlg
Example-4-10\complex_bibus\rev_1\complex_bibus2.vqm
Example-4-10\complex_bibus\rev_1\complex_bibus2.xrf
Example-4-10\complex_bibus\rev_1\complex_bibus2_cons.tcl
Example-4-10\complex_bibus\rev_1\complex_bibus2_rm.tcl
Example-4-10\complex_bibus\rev_1\complex_bibus_cons.tcl
Example-4-10\complex_bibus\rev_1\complex_bibus_rm.tcl
Example-4-10\complex_bibus\rev_1\decode.srr
Example-4-10\complex_bibus\rev_1\rpt_complex_bibus.areasrr
Example-4-10\complex_bibus\rev_1\rpt_complex_bibus_areasrr.htm
Example-4-10\complex_bibus\rev_1\syntmp\complex_bibus.msg
Example-4-10\complex_bibus\rev_1\syntmp\complex_bibus.plg
Example-4-10\complex_bibus\rev_1\syntmp\complex_bibus2.plg
Example-4-10\complex_bibus\rev_1\syntmp\complex_bibus2_cons_ui.tcl
Example-4-10\complex_bibus\rev_1\syntmp\complex_bibus_cons_ui.tcl
Example-4-10\complex_bibus\rev_1\verif\complex_bibus.vif
Example-4-10\complex_bibus\rev_1\verif\complex_bibus2.vif
Example-4-10\complex_bibus\syntmp.msg
Example-4-10\source\bibus.v
Example-4-10\source\complex_bibus.v
Example-4-10\source\complex_bibus2.v
Example-4-10\source\counter.v
Example-4-10\source\decode.v
Example-4-10\示例说明.doc
Example-4-11\mux.prd
Example-4-11\mux.prj
Example-4-11\mux.v
Example-4-11\mux2.v
Example-4-11\rev_1\AutoConstraint_mux.sdc
Example-4-11\rev_1\generic.fse
Example-4-11\rev_1\generic.srd
Example-4-11\rev_1\mux.edf
Example-4-11\rev_1\mux.edn
Example-4-11\rev_1\mux.fse
Example-4-11\rev_1\mux.ncf
Example-4-11\rev_1\mux.prf
Example-4-11\rev_1\mux.srd
Example-4-11\rev_1\mux.srm
Example-4-11\rev_1\mux.srr
Example-4-11\rev_1\mux.srs
Example-4-11\rev_1\mux.sxr
Example-4-11\rev_1\mux.tcl
Example-4-11\rev_1\mux.tlg
Example-4-11\rev_1\mux.vqm
Example-4-11\rev_1\mux.xrf
Example-4-11\rev_1\mux2.edf
Example-4-11\rev_1\mux2.fse
Example-4-11\rev_1\mux2.ncf
Example-4-11\rev_1\mux2.srd
Example-4-11\rev_1\mux2.srm
Example-4-11\rev_1\mux2.srr
Example-4-11\rev_1\mux2.srs
Example-4-11\rev_1\mux2.tlg
Example-4-11\rev_1\mux_cons.tcl
Example-4-11\rev_1\mux_rm.tcl
Example-4-11\rev_1\rpt_mux.areasrr
Example-4-11\rev_1\rpt_mux_areasrr.htm
Example-4-11\rev_1\syntmp\mux.plg
Example-4-11\rev_1\syntmp\mux2.msg
Example-4-11\rev_1\syntmp\mux2.plg
Example-4-11\rev_1\syntmp\mux_cons_ui.tcl
Example-4-11\rev_1\verif\mux.vif
Example-4-11\rev_1\verif\mux2.vif
Example-4-11\source\mux.v
Example-4-11\source\mux2.v
Example-4-11\syntmp.msg
Example-4-11\示例说明.doc
Example-4-13\ram_basic\ram_basic.prd
Example-4-13\ram_basic\ram_basic.prj
Example-4-13\ram_basic\ram_basic.v
Example-4-13\ram_basic\rev_2\.recordref
Example-4-13\ram_basic\rev_2\AutoConstraint_ram_basic.sdc
Example-4-13\ram_basic\rev_2\ram_basic.edf
Example-4-13\ram_basic\rev_2\ram_basic.fse
Example-4-13\ram_basic\rev_2\ram_basic.ncf
Example-4-13\ram_basic\rev_2\ram_basic.srd
Example-4-13\ram_basic\rev_2\ram_basic.srm
Example-4-13\ram_basic\rev_2\ram_basic.srr
Example-4-13\ram_basic\rev_2\ram_basic.srs
Example-4-13\ram_basic\rev_2\ram_basic.tlg
Example-4-13\ram_basic\rev_2\rpt_ram_basic.areasrr
Example-4-13\ram_basic\rev_2\rpt_ram_basic_areasrr.htm
Example-4-13\ram_basic\rev_2\syntmp\ram_basic.msg
Example-4-13\ram_basic\rev_2\syntmp\ram_basic.plg
Example-4-13\ram_basic\rev_2\traplog.tlg
Example-4-13\ram_basic\rev_2\verif\ram_basic.vif
Example-4-13\sim\ram_basic.cr.mti
Example-4-13\sim\ram_basic.mpf
Example-4-13\sim\ram_basic.v
Example-4-13\sim\ram_basic_tb.v
Example-4-13\sim\transcript
Example-4-13\sim\vsim.wlf
Example-4-13\sim\wave.do
Example-4-13\sim\work\_info
Example-4-13\sim\work\ram_basic\_primary.dat
Example-4-13\sim\work\ram_basic\_primary.vhd
Example-4-13\sim\work\ram_basic\verilog.asm
Example-4-13\sim\work\ram_basic_tb\_primary.dat
Example-4-13\sim\work\ram_basic_tb\_primary.vhd
Example-4-13\sim\work\ram_basic_tb\verilog.asm
Example-4-13\source\ram_basic.v
Example-4-13\示例说明.doc
Example-4-14\clk_3div\clk_3div.v
Example-4-14\clk_3div\clk_3div_tb.v
Example-4-14\clk_3div\sim\clk_div3.cr.mti
Example-4-14\clk_3div\sim\clk_div3.mpf
Example-4-14\clk_3div\sim\vsim.wlf
Example-4-14\clk_3div\sim\wave.do
Example-4-14\clk_3div\sim\work\_info
Example-4-14\clk_3div\sim\work\clk_3div\_primary.dat
Example-4-14\clk_3div\sim\work\clk_3div\_primary.vhd
Example-4-14\clk_3div\sim\work\clk_3div\verilog.asm
Example-4-14\clk_3div\sim\work\clk_3div_tb\_primary.dat
Example-4-14\clk_3div\sim\work\clk_3div_tb\_primary.vhd
Example-4-14\clk_3div\sim\work\clk_3div_tb\verilog.asm
Example-4-14\clk_3div\synthesis\clk_div3.prd
Example-4-14\clk_3div\synthesis\clk_div3.prj
Example-4-14\clk_3div\synthesis\rev_1\clk_3div.edf
Example-4-14\clk_3div\synthesis\rev_1\clk_3div.fse
Example-4-14\clk_3div\synthesis\rev_1\clk_3div.srm
Example-4-14\clk_3div\synthesis\rev_1\clk_3div.srr
Example-4-14\clk_3div\synthesis\rev_1\clk_3div.srs
Example-4-14\clk_3div\synthesis\rev_1\clk_3div.tlg
Example-4-14\clk_3div\synthesis\rev_1\syntmp\clk_3div.msg
Example-4-14\clk_3div\synthesis\rev_1\syntmp\clk_3div.plg
Example-4-14\clk_div_phase\clk_div_phase.prd
Example-4-14\clk_div_phase\clk_div_phase.prj
Example-4-14\clk_div_phase\clk_div_phase.v
Example-4-14\clk_div_phase\clk_div_phase_tb.v
Example-4-14\clk_div_phase\rev_1\AutoConstraint_clk_div_phase.sdc
Example-4-14\clk_div_phase\rev_1\clk_div_phase.edf
Example-4-14\clk_div_phase\rev_1\clk_div_phase.fse
Example-4-14\clk_div_phase\rev_1\clk_div_phase.ncf
Example-4-14\clk_div_phase\rev_1\clk_div_phase.srd
Example-4-14\clk_div_phase\rev_1\clk_div_phase.srm
Example-4-14\clk_div_phase\rev_1\clk_div_phase.srr
Example-4-14\clk_div_phase\rev_1\clk_div_phase.srs
Example-4-14\clk_div_phase\rev_1\clk_div_phase.tlg
Example-4-14\clk_div_phase\rev_1\rpt_clk_div_phase.areasrr
Example-4-14\clk_div_phase\rev_1\rpt_clk_div_phase_areasrr.htm
Example-4-14\clk_div_phase\rev_1\syntmp\clk_div_phase.msg
Example-4-14\clk_div_phase\rev_1\syntmp\clk_div_phase.plg
Example-4-14\clk_div_phase\rev_1\verif\clk_div_phase.vif
Example-4-14\clk_div_phase\sim\clk_div.cr.mti
Example-4-14\clk_div_phase\sim\clk_div.mpf
Example-4-14\clk_div_phase\sim\transcript
Example-4-14\clk_div_phase\sim\vsim.wlf
Example-4-14\clk_div_phase\sim\wave.do
Example-4-14\clk_div_phase\sim\work\_info
Example-4-14\clk_div_phase\sim\work\clk_div_phase\_primary.dat
Example-4-14\clk_div_phase\sim\work\clk_div_phase\_primary.vhd
Example-4-14\clk_div_phase\sim\work\clk_div_phase\verilog.asm
Example-4-14\clk_div_phase\sim\work\clk_div_phase_tb\_primary.dat
Example-4-14\clk_div_phase\sim\work\clk_div_phase_tb\_primary.vhd
Example-4-14\clk_div_phase\sim\work\clk_div_phase_tb\verilog.asm
Example-4-14\示例说明.doc
Example-4-16\rev_1\AutoConstraint_srl2pal.sdc
Example-4-16\rev_1\rpt_srl2pal.areasrr
Example-4-16\rev_1\rpt_srl2pal_areasrr.htm
Example-4-16\rev_1\srl2pal.edf
Example-4-16\rev_1\srl2pal.fse
Example-4-16\rev_1\srl2pal.ncf
Example-4-16\rev_1\srl2pal.srd
Example-4-16\rev_1\srl2pal.srm
Example-4-16\rev_1\srl2pal.srr
Example-4-16\rev_1\srl2pal.srs
Example-4-16\rev_1\srl2pal.tlg
Example-4-16\rev_1\syntmp\srl2pal.msg
Example-4-16\rev_1\syntmp\srl2pal.plg
Example-4-16\rev_1\verif\srl2pal.vif
Example-4-16\source\srl2pal.v
Example-4-16\srl2pal.prd
Example-4-16\srl2pal.prj
Example-4-16\srl2pal.v
Example-4-16\示例说明.doc
Example-4-17\asyn_rst\asyn_rst.prd
Example-4-17\asyn_rst\asyn_rst.prj
Example-4-17\asyn_rst\asyn_rst.v
Example-4-17\asyn_rst\rev_1\AutoConstraint_asyn_rst.sdc
Example-4-17\asyn_rst\rev_1\asyn_rst.edn
Example-4-17\asyn_rst\rev_1\asyn_rst.fse
Example-4-17\asyn_rst\rev_1\asyn_rst.prf
Example-4-17\asyn_rst\rev_1\asyn_rst.srm
Example-4-17\asyn_rst\rev_1\asyn_rst.srr
Example-4-17\asyn_rst\rev_1\asyn_rst.srs
Example-4-17\asyn_rst\rev_1\asyn_rst.tlg
Example-4-17\asyn_rst\rev_1\generic.fse
Example-4-17\asyn_rst\rev_1\generic.srd
Example-4-17\asyn_rst\rev_1\syntmp\asyn_rst.msg
Example-4-17\asyn_rst\rev_1\syntmp\asyn_rst.plg
Example-4-17\asyn_rst_syn_release\asyn_rst_syn_release.v
Example-4-17\syn_rst\rev_2\AutoConstraint_syn_rst.sdc
Example-4-17\syn_rst\rev_2\generic.fse
Example-4-17\syn_rst\rev_2\generic.srd
Example-4-17\syn_rst\rev_2\syn_rst.edn
Example-4-17\syn_rst\rev_2\syn_rst.fse
Example-4-17\syn_rst\rev_2\syn_rst.prf
Example-4-17\syn_rst\rev_2\syn_rst.srm
Example-4-17\syn_rst\rev_2\syn_rst.srr
Example-4-17\syn_rst\rev_2\syn_rst.srs
Example-4-17\syn_rst\rev_2\syn_rst.tlg
Example-4-17\syn_rst\rev_2\syntmp\syn_rst.msg
Example-4-17\syn_rst\rev_2\syntmp\syn_rst.plg
Example-4-17\syn_rst\syn_rst.prd
Example-4-17\syn_rst\syn_rst.prj
Example-4-17\syn_rst\syn_rst.v
Example-4-17\syn_rst\syntmp.msg
Example-4-17\示例说明.doc
Example-4-20\case\PrecisionRTL\Thumbs.db
Example-4-20\case\PrecisionRTL\case.psp
Example-4-20\case\PrecisionRTL\case_RTL_schematic.bmp
Example-4-20\case\PrecisionRTL\case_impl_1\case1.edf
Example-4-20\case\PrecisionRTL\case_impl_1\case1.prf
Example-4-20\case\PrecisionRTL\case_impl_1\case1.xdb
Example-4-20\case\PrecisionRTL\case_impl_1\case1_area.rep
Example-4-20\case\PrecisionRTL\case_impl_1\case1_con_rep.sdc
Example-4-20\case\PrecisionRTL\case_impl_1\case1_rtl.ixdb
Example-4-20\case\PrecisionRTL\case_impl_1\case1_tech_con_rep.sdc
Example-4-20\case\PrecisionRTL\case_impl_1\case1_timing.rep
Example-4-20\case\PrecisionRTL\case_impl_1\case_impl_1.psi
Example-4-20\case\PrecisionRTL\case_impl_1\hdlAnalyze_verilogfile
Example-4-20\case\PrecisionRTL\case_impl_1\precision.log
Example-4-20\case\PrecisionRTL\case_impl_1\precision_rtl.sdc
Example-4-20\case\PrecisionRTL\case_impl_1\precision_tech.sdc
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\.rtlc_compile
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\.top
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\INCR\emptymod.list
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\INCR\hier.list
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\INCR\incr_driver.log
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\INCR\incr_rtlc.log
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\autotop.conf
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\depend\TOPMODULE.list
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\legalmodmap.db
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\rtlc.args
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\rtlc_args1.file
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\vmw.mem_contents
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc_libs\work\case1.mod
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc_libs\work\case1.mod.body
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc_libs\work\rtlc_version_info
Example-4-20\case\PrecisionRTL\case_impl_1\unfolded_operators.txt
Example-4-20\case\PrecisionRTL\case_schematic.bmp
Example-4-20\case\SynplifyPro\Thumbs.db
Example-4-20\case\SynplifyPro\case1.prd
Example-4-20\case\SynplifyPro\case1.prj
Example-4-20\case\SynplifyPro\case_rtl_view.bmp
Example-4-20\case\SynplifyPro\case_tech_view.bmp
Example-4-20\case\SynplifyPro\rev_2\AutoConstraint_case1.sdc
Example-4-20\case\SynplifyPro\rev_2\case1.edn
Example-4-20\case\SynplifyPro\rev_2\case1.fse
Example-4-20\case\SynplifyPro\rev_2\case1.prf
Example-4-20\case\SynplifyPro\rev_2\case1.srm
Example-4-20\case\SynplifyPro\rev_2\case1.srr
Example-4-20\case\SynplifyPro\rev_2\case1.srs
Example-4-20\case\SynplifyPro\rev_2\case1.tlg
Example-4-20\case\SynplifyPro\rev_2\generic.fse
Example-4-20\case\SynplifyPro\rev_2\generic.srd
Example-4-20\case\SynplifyPro\rev_2\syntmp\case1.msg
Example-4-20\case\SynplifyPro\rev_2\syntmp\case1.plg
Example-4-20\case\case1.v
Example-4-20\case\syntmp.msg
Example-4-20\decode\case\case_decode.v
Example-4-20\decode\case\decode_case.psp
Example-4-20\decode\case\decode_case_impl_1\case_decode.edf
Example-4-20\decode\case\decode_case_impl_1\case_decode.prf
Example-4-20\decode\case\decode_case_impl_1\case_decode.xdb
Example-4-20\decode\case\decode_case_impl_1\case_decode_area.rep
Example-4-20\decode\case\decode_case_impl_1\case_decode_con_rep.sdc
Example-4-20\decode\case\decode_case_impl_1\case_decode_rtl.ixdb
Example-4-20\decode\case\decode_case_impl_1\case_decode_tech_con_rep.sdc
Example-4-20\decode\case\decode_case_impl_1\case_decode_timing.rep
Example-4-20\decode\case\decode_case_impl_1\decode_case_impl_1.psi
Example-4-20\decode\case\decode_case_impl_1\hdlAnalyze_verilogfile
Example-4-20\decode\case\decode_case_impl_1\precision.log
Example-4-20\decode\case\decode_case_impl_1\precision_rtl.sdc
Example-4-20\decode\case\decode_case_impl_1\precision_tech.sdc
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\.rtlc_compile
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\.top
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\INCR\emptymod.list
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\INCR\hier.list
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\INCR\incr_driver.log
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\INCR\incr_rtlc.log
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\autotop.conf
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\depend\TOPMODULE.list
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\legalmodmap.db
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\rtlc.args
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\rtlc_args1.file
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\vmw.mem_contents
Example-4-20\decode\case\decode_case_impl_1\rtlc_libs\work\case_decode.mod
Example-4-20\decode\case\decode_case_impl_1\rtlc_libs\work\case_decode.mod.body
Example-4-20\decode\case\decode_case_impl_1\rtlc_libs\work\rtlc_version_info
Example-4-20\decode\case\decode_case_impl_1\unfolded_operators.txt
Example-4-20\decode\case\precision_RTL_schematic.bmp
Example-4-20\decode\case\precision_schematic.bmp
Example-4-20\decode\case\rev_1\AutoConstraint_case_decode.sdc
Example-4-20\decode\case\rev_1\case_decode.edn
Example-4-20\decode\case\rev_1\case_decode.fse
Example-4-20\decode\case\rev_1\case_decode.prf
Example-4-20\decode\case\rev_1\case_decode.srm
Example-4-20\decode\case\rev_1\case_decode.srr
Example-4-20\decode\case\rev_1\case_decode.srs
Example-4-20\decode\case\rev_1\case_decode.tlg
Example-4-20\decode\case\rev_1\generic.fse
Example-4-20\decode\case\rev_1\generic.srd
Example-4-20\decode\case\rev_1\syntmp\case_decode.msg
Example-4-20\decode\case\rev_1\syntmp\case_decode.plg
Example-4-20\decode\case\synplify.prd
Example-4-20\decode\case\synplify.prj
Example-4-20\decode\case\synplify_rtl_view.bmp
Example-4-20\decode\case\synplify_tech_view.bmp
Example-4-20\decode\if_mult\if_mult_RTL_schematic.bmp
Example-4-20\decode\if_mult\if_mult_decode.prd
Example-4-20\decode\if_mult\if_mult_decode.prj
Example-4-20\decode\if_mult\if_mult_decode.v
Example-4-20\decode\if_mult\if_mult_decode_RTL_veiw.bmp
Example-4-20\decode\if_mult\if_mult_decode_tech_veiw.bmp
Example-4-20\decode\if_mult\if_mult_schematic.bmp
Example-4-20\decode\if_mult\precision.psp
Example-4-20\decode\if_mult\precision_impl_1\hdlAnalyze_verilogfile
Example-4-20\decode\if_mult\precision_impl_1\if_mult_decode.edf
Example-4-20\decode\if_mult\precision_impl_1\if_mult_decode.prf
Example-4-20\decode\if_mult\precision_impl_1\if_mult_decode.xdb
Example-4-20\decode\if_mult\precision_impl_1\if_mult_decode_area.rep
Example-4-20\decode\if_mult\precision_impl_1\if_mult_decode_con_rep.sdc
Example-4-20\decode\if_mult\precision_impl_1\if_mult_decode_rtl.ixdb
Example-4-20\decode\if_mult\precision_impl_1\if_mult_decode_tech_con_rep.sdc
Example-4-20\decode\if_mult\precision_impl_1\if_mult_decode_timing.rep
Example-4-20\decode\if_mult\precision_impl_1\precision.log
Example-4-20\decode\if_mult\precision_impl_1\precision_impl_1.psi
Example-4-20\decode\if_mult\precision_impl_1\precision_rtl.sdc
Example-4-20\decode\if_mult\precision_impl_1\precision_tech.sdc
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\.rtlc_compile
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\.top
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\INCR\emptymod.list
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\INCR\hier.list
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\INCR\incr_driver.log
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\INCR\incr_rtlc.log
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\autotop.conf
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\depend\TOPMODULE.list
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\legalmodmap.db
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\rtlc.args
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\rtlc_args1.file
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\vmw.mem_contents
Example-4-20\decode\if_mult\precision_impl_1\rtlc_libs\work\if_mult_decode.mod
Example-4-20\decode\if_mult\precision_impl_1\rtlc_libs\work\if_mult_decode.mod.body
Example-4-20\decode\if_mult\precision_impl_1\rtlc_libs\work\rtlc_version_info
Example-4-20\decode\if_mult\precision_impl_1\unfolded_operators.txt
Example-4-20\decode\if_mult\rev_2\AutoConstraint_if_mult_decode.sdc
Example-4-20\decode\if_mult\rev_2\generic.fse
Example-4-20\decode\if_mult\rev_2\generic.srd
Example-4-20\decode\if_mult\rev_2\if_mult_decode.edn
Example-4-20\decode\if_mult\rev_2\if_mult_decode.fse
Example-4-20\decode\if_mult\rev_2\if_mult_decode.prf
Example-4-20\decode\if_mult\rev_2\if_mult_decode.srm
Example-4-20\decode\if_mult\rev_2\if_mult_decode.srr
Example-4-20\decode\if_mult\rev_2\if_mult_decode.srs
Example-4-20\decode\if_mult\rev_2\if_mult_decode.tlg
Example-4-20\decode\if_mult\rev_2\syntmp\if_mult_decode.msg
Example-4-20\decode\if_mult\rev_2\syntmp\if_mult_decode.plg
Example-4-20\decode\if_single\if_single_RTL_schematic.bmp
Example-4-20\decode\if_single\if_single_decode.prd
Example-4-20\decode\if_single\if_single_decode.prj
Example-4-20\decode\if_single\if_single_decode.v
Example-4-20\decode\if_single\if_single_decode_RTL_view.bmp
Example-4-20\decode\if_single\if_single_decode_tech_view.bmp
Example-4-20\decode\if_single\if_single_schematic.bmp
Example-4-20\decode\if_single\precision.log
Example-4-20\decode\if_single\precision.psp
Example-4-20\decode\if_single\precision_impl_1\hdlAnalyze_verilogfile
Example-4-20\decode\if_single\precision_impl_1\if_single_decode.edf
Example-4-20\decode\if_single\precision_impl_1\if_single_decode.prf
Example-4-20\decode\if_single\precision_impl_1\if_single_decode.xdb
Example-4-20\decode\if_single\precision_impl_1\if_single_decode_area.rep
Example-4-20\decode\if_single\precision_impl_1\if_single_decode_con_rep.sdc
Example-4-20\decode\if_single\precision_impl_1\if_single_decode_rtl.ixdb
Example-4-20\decode\if_single\precision_impl_1\if_single_decode_tech_con_rep.sdc
Example-4-20\decode\if_single\precision_impl_1\if_single_decode_timing.rep
Example-4-20\decode\if_single\precision_impl_1\precision.log
Example-4-20\decode\if_single\precision_impl_1\precision_impl_1.psi
Example-4-20\decode\if_single\precision_impl_1\precision_rtl.sdc
Example-4-20\decode\if_single\precision_impl_1\precision_tech.sdc
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\.rtlc_compile
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\.top
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\INCR\emptymod.list
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\INCR\hier.list
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\INCR\incr_driver.log
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\INCR\incr_rtlc.log
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\autotop.conf
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\depend\TOPMODULE.list
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\legalmodmap.db
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\rtlc.args
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\rtlc_args1.file
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\vmw.mem_contents
Example-4-20\decode\if_single\precision_impl_1\rtlc_libs\work\if_single_decode.mod
Example-4-20\decode\if_single\precision_impl_1\rtlc_libs\work\if_single_decode.mod.body
Example-4-20\decode\if_single\precision_impl_1\rtlc_libs\work\rtlc_version_info
Example-4-20\decode\if_single\precision_impl_1\unfolded_operators.txt
Example-4-20\decode\if_single\rev_1\AutoConstraint_if_single_decode.sdc
Example-4-20\decode\if_single\rev_1\generic.fse
Example-4-20\decode\if_single\rev_1\generic.srd
Example-4-20\decode\if_single\rev_1\if_single_decode.edn
Example-4-20\decode\if_single\rev_1\if_single_decode.fse
Example-4-20\decode\if_single\rev_1\if_single_decode.prf
Example-4-20\decode\if_single\rev_1\if_single_decode.srm
Example-4-20\decode\if_single\rev_1\if_single_decode.srr
Example-4-20\decode\if_single\rev_1\if_single_decode.srs
Example-4-20\decode\if_single\rev_1\if_single_decode.tlg
Example-4-20\decode\if_single\rev_1\syntmp\if_single_decode.msg
Example-4-20\decode\if_single\rev_1\syntmp\if_single_decode.plg
Example-4-20\if_mult\Latch_if_mult\Latch_Synplify_RTL_view.bmp
Example-4-20\if_mult\Latch_if_mult\Latch_Synplify_tech_view.bmp
Example-4-20\if_mult\Latch_if_mult\latch_if_mult.prd
Example-4-20\if_mult\Latch_if_mult\latch_if_mult.prj
Example-4-20\if_mult\Latch_if_mult\latch_mult_if.v
Example-4-20\if_mult\Latch_if_mult\rev_2\AutoConstraint_mult_if.sdc
Example-4-20\if_mult\Latch_if_mult\rev_2\generic.fse
Example-4-20\if_mult\Latch_if_mult\rev_2\generic.srd
Example-4-20\if_mult\Latch_if_mult\rev_2\latch_mult_if.edn
Example-4-20\if_mult\Latch_if_mult\rev_2\latch_mult_if.fse
Example-4-20\if_mult\Latch_if_mult\rev_2\latch_mult_if.prf
Example-4-20\if_mult\Latch_if_mult\rev_2\latch_mult_if.srm
Example-4-20\if_mult\Latch_if_mult\rev_2\latch_mult_if.srr
Example-4-20\if_mult\Latch_if_mult\rev_2\latch_mult_if.srs
Example-4-20\if_mult\Latch_if_mult\rev_2\latch_mult_if.tlg
Example-4-20\if_mult\Latch_if_mult\rev_2\syntmp\latch_mult_if.msg
Example-4-20\if_mult\Latch_if_mult\rev_2\syntmp\latch_mult_if.plg
Example-4-20\if_mult\PrecisionRTL\Thumbs.db
Example-4-20\if_mult\PrecisionRTL\if_mult.psp
Example-4-20\if_mult\PrecisionRTL\if_mult_RTL_schematic.bmp
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\hdlAnalyze_verilogfile
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\if_mult_impl_1.psi
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\mult_if.edf
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\mult_if.prf
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\mult_if.xdb
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\mult_if_area.rep
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\mult_if_con_rep.sdc
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\mult_if_rtl.ixdb
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\mult_if_tech_con_rep.sdc
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\mult_if_timing.rep
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\precision.log
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\precision_rtl.sdc
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\precision_tech.sdc
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\.rtlc_compile
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\.top
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\INCR\emptymod.list
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\INCR\hier.list
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\INCR\incr_driver.log
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\INCR\incr_rtlc.log
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\autotop.conf
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\depend\TOPMODULE.list
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\legalmodmap.db
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\rtlc.args
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\rtlc_args1.file
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\vmw.mem_contents
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc_libs\work\mult_if.mod
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc_libs\work\mult_if.mod.body
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc_libs\work\rtlc_version_info
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\unfolded_operators.txt
Example-4-20\if_mult\PrecisionRTL\if_mult_schematic.bmp
Example-4-20\if_mult\SynplifyPro\if_mult.prd
Example-4-20\if_mult\SynplifyPro\if_mult.prj
Example-4-20\if_mult\SynplifyPro\if_mult_rtl_view.bmp
Example-4-20\if_mult\SynplifyPro\if_mult_tech_view.bmp
Example-4-20\if_mult\SynplifyPro\rev_1\AutoConstraint_mult_if.sdc
Example-4-20\if_mult\SynplifyPro\rev_1\generic.fse
Example-4-20\if_mult\SynplifyPro\rev_1\generic.srd
Example-4-20\if_mult\SynplifyPro\rev_1\mult_if.edn
Example-4-20\if_mult\SynplifyPro\rev_1\mult_if.fse
Example-4-20\if_mult\SynplifyPro\rev_1\mult_if.prf
Example-4-20\if_mult\SynplifyPro\rev_1\mult_if.srm
Example-4-20\if_mult\SynplifyPro\rev_1\mult_if.srr
Example-4-20\if_mult\SynplifyPro\rev_1\mult_if.srs
Example-4-20\if_mult\SynplifyPro\rev_1\mult_if.tlg
Example-4-20\if_mult\SynplifyPro\rev_1\syntmp\mult_if.msg
Example-4-20\if_mult\SynplifyPro\rev_1\syntmp\mult_if.plg
Example-4-20\if_mult\SynplifyPro\syntmp.msg
Example-4-20\if_mult\latch_mult_if.v
Example-4-20\if_mult\mult_if.v
Example-4-20\if_single\PrecisionRTL\Thumbs.db
Example-4-20\if_single\PrecisionRTL\if_single.psp
Example-4-20\if_single\PrecisionRTL\if_single_RTL_schemaitc.bmp
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\hdlAnalyze_verilogfile
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\if_single_impl_1.psi
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\precision.log
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\precision_rtl.sdc
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\precision_tech.sdc
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\.rtlc_compile
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\.top
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\INCR\emptymod.list
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\INCR\hier.list
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\INCR\incr_driver.log
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\INCR\incr_rtlc.log
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\autotop.conf
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\depend\TOPMODULE.list
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\legalmodmap.db
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\rtlc.args
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\rtlc_args1.file
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\vmw.mem_contents
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc_libs\work\rtlc_version_info
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc_libs\work\single_if.mod
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc_libs\work\single_if.mod.body
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\single_if.edf
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\single_if.prf
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\single_if.xdb
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\single_if_area.rep
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\single_if_con_rep.sdc
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\single_if_rtl.ixdb
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\single_if_tech_con_rep.sdc
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\single_if_timing.rep
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\unfolded_operators.txt
Example-4-20\if_single\PrecisionRTL\if_single_schematic.bmp
Example-4-20\if_single\SynplifyPro\if_single.prd
Example-4-20\if_single\SynplifyPro\if_single.prj
Example-4-20\if_single\SynplifyPro\if_single_rtl_view.bmp
Example-4-20\if_single\SynplifyPro\if_single_tech_view.bmp
Example-4-20\if_single\SynplifyPro\rev_2\AutoConstraint_single_if.sdc
Example-4-20\if_single\SynplifyPro\rev_2\generic.fse
Example-4-20\if_single\SynplifyPro\rev_2\generic.srd
Example-4-20\if_single\SynplifyPro\rev_2\single_if.edn
Example-4-20\if_single\SynplifyPro\rev_2\single_if.fse
Example-4-20\if_single\SynplifyPro\rev_2\single_if.prf
Example-4-20\if_single\SynplifyPro\rev_2\single_if.srm
Example-4-20\if_single\SynplifyPro\rev_2\single_if.srr
Example-4-20\if_single\SynplifyPro\rev_2\single_if.srs
Example-4-20\if_single\SynplifyPro\rev_2\single_if.tlg
Example-4-20\if_single\SynplifyPro\rev_2\syntmp\single_if.msg
Example-4-20\if_single\SynplifyPro\rev_2\syntmp\single_if.plg
Example-4-20\if_single\SynplifyPro\syntmp.msg
Example-4-20\if_single\single_if.v
Example-4-20\示例说明.doc
Example-4-21\asyn_bad\asyn_bad.prd
Example-4-21\asyn_bad\asyn_bad.prj
Example-4-21\asyn_bad\decode.v
Example-4-21\asyn_bad\read_reg.v
Example-4-21\asyn_bad\rev_1\AutoConstraint_top.sdc
Example-4-21\asyn_bad\rev_1\decode.edn
Example-4-21\asyn_bad\rev_1\decode.fse
Example-4-21\asyn_bad\rev_1\decode.prf
Example-4-21\asyn_bad\rev_1\decode.srm
Example-4-21\asyn_bad\rev_1\decode.srr
Example-4-21\asyn_bad\rev_1\decode.srs
Example-4-21\asyn_bad\rev_1\decode.tlg
Example-4-21\asyn_bad\rev_1\generic.fse
Example-4-21\asyn_bad\rev_1\generic.srd
Example-4-21\asyn_bad\rev_1\syntmp\decode.msg
Example-4-21\asyn_bad\rev_1\syntmp\decode.plg
Example-4-21\asyn_bad\top.v
Example-4-21\asyn_bad\write_reg.v
Example-4-21\oe_edge\decode.v
Example-4-21\oe_edge\oe_edge.prd
Example-4-21\oe_edge\oe_edge.prj
Example-4-21\oe_edge\read_reg.v
Example-4-21\oe_edge\rev_2\AutoConstraint_top.sdc
Example-4-21\oe_edge\rev_2\generic.fse
Example-4-21\oe_edge\rev_2\generic.srd
Example-4-21\oe_edge\rev_2\syntmp\top.msg
Example-4-21\oe_edge\rev_2\syntmp\top.plg
Example-4-21\oe_edge\rev_2\top.edn
Example-4-21\oe_edge\rev_2\top.fse
Example-4-21\oe_edge\rev_2\top.prf
Example-4-21\oe_edge\rev_2\top.srm
Example-4-21\oe_edge\rev_2\top.srr
Example-4-21\oe_edge\rev_2\top.srs
Example-4-21\oe_edge\rev_2\top.tlg
Example-4-21\oe_edge\top.v
Example-4-21\oe_edge\write_reg.v
Example-4-21\syn_wr\decode.v
Example-4-21\syn_wr\read_reg.v
Example-4-21\syn_wr\rev_1\generic.fse
Example-4-21\syn_wr\rev_1\generic.srd
Example-4-21\syn_wr\rev_1\syntmp\top.msg
Example-4-21\syn_wr\rev_1\syntmp\top.plg
Example-4-21\syn_wr\rev_1\top.edn
Example-4-21\syn_wr\rev_1\top.fse
Example-4-21\syn_wr\rev_1\top.prf
Example-4-21\syn_wr\rev_1\top.srm
Example-4-21\syn_wr\rev_1\top.srr
Example-4-21\syn_wr\rev_1\top.srs
Example-4-21\syn_wr\rev_1\top.tlg
Example-4-21\syn_wr\syn_wr.prd
Example-4-21\syn_wr\syn_wr.prj
Example-4-21\syn_wr\syntmp.msg
Example-4-21\syn_wr\top.v
Example-4-21\syn_wr\write_reg.v
Example-4-21\示例说明.doc
Example-5-1\FHTPART_resource.bmp
Example-5-1\FHTPART_resource.gif
Example-5-1\Thumbs.db
Example-5-1\after_optimized\after_optimized.prd
Example-5-1\after_optimized\after_optimized.prj
Example-5-1\after_optimized\rev_2\syntmp\wch_fht.plg
Example-5-1\after_optimized\rev_2\wch_fht.fse
Example-5-1\after_optimized\rev_2\wch_fht.srd
Example-5-1\after_optimized\rev_2\wch_fht.srm
Example-5-1\after_optimized\rev_2\wch_fht.srr
Example-5-1\after_optimized\rev_2\wch_fht.srs
Example-5-1\after_optimized\rev_2\wch_fht.sxr
Example-5-1\after_optimized\rev_2\wch_fht.tcl
Example-5-1\after_optimized\rev_2\wch_fht.tlg
Example-5-1\after_optimized\rev_2\wch_fht.vqm
Example-5-1\after_optimized\rev_2\wch_fht.xrf
Example-5-1\after_optimized\rev_2\wch_fht_cons.tcl
Example-5-1\after_optimized\rev_2\wch_fht_rm.tcl
Example-5-1\after_optimized\wch_fht.v
Example-5-1\before_optimized\before_optimized.prd
Example-5-1\before_optimized\before_optimized.prj
Example-5-1\before_optimized\fht_unit1.v
Example-5-1\before_optimized\fht_unit2.v
Example-5-1\before_optimized\fht_unit3.v
Example-5-1\before_optimized\fht_unit4.v
Example-5-1\before_optimized\fhtpart.v
Example-5-1\before_optimized\rev_1\AutoConstraint_fhtpart.sdc
Example-5-1\before_optimized\rev_1\fhtpart.fse
Example-5-1\before_optimized\rev_1\fhtpart.srd
Example-5-1\before_optimized\rev_1\fhtpart.srm
Example-5-1\before_optimized\rev_1\fhtpart.srr
Example-5-1\before_optimized\rev_1\fhtpart.srs
Example-5-1\before_optimized\rev_1\fhtpart.sxr
Example-5-1\before_optimized\rev_1\fhtpart.tcl
Example-5-1\before_optimized\rev_1\fhtpart.tlg
Example-5-1\before_optimized\rev_1\fhtpart.vqm
Example-5-1\before_optimized\rev_1\fhtpart.xrf
Example-5-1\before_optimized\rev_1\fhtpart_cons.tcl
Example-5-1\before_optimized\rev_1\fhtpart_rm.tcl
Example-5-1\before_optimized\rev_1\syntmp\fhtpart.plg
Example-5-1\soure\after_optimized\wch_fht.v
Example-5-1\soure\before_optimized\fht_unit1.v
Example-5-1\soure\before_optimized\fht_unit2.v
Example-5-1\soure\before_optimized\fht_unit3.v
Example-5-1\soure\before_optimized\fht_unit4.v
Example-5-1\soure\before_optimized\fhtpart.v
Example-5-1\wchfht_resource.bmp
Example-5-1\wchfht_resource.gif
Example-5-1\workspace_VS.prd
Example-5-1\workspace_VS.prj
Example-5-1\示例说明.doc
Example-5-5\latch.prd
Example-5-5\latch.prj
Example-5-5\latch.v
Example-5-5\rev_2\AutoConstraint_latch.sdc
Example-5-5\rev_2\latch.edf
Example-5-5\rev_2\latch.fse
Example-5-5\rev_2\latch.ncf
Example-5-5\rev_2\latch.srd
Example-5-5\rev_2\latch.srm
Example-5-5\rev_2\latch.srr
Example-5-5\rev_2\latch.srs
Example-5-5\rev_2\latch.tlg
Example-5-5\rev_2\rpt_latch.areasrr
Example-5-5\rev_2\rpt_latch_areasrr.htm
Example-5-5\rev_2\syntmp\latch.msg
Example-5-5\rev_2\syntmp\latch.plg
Example-5-5\rev_2\verif\latch.vif
Example-5-5\source\latch.v
Example-5-5\syntmp.msg
Example-5-5\示例说明.doc
Example-5-6\resource_share.prd
Example-5-6\resource_share.prj
Example-5-6\rev_1\AutoConstraint_resource_share1.sdc
Example-5-6\rev_1\AutoConstraint_resource_share2.sdc
Example-5-6\rev_1\resource_share1.fse
Example-5-6\rev_1\resource_share1.srd
Example-5-6\rev_1\resource_share1.srm
Example-5-6\rev_1\resource_share1.srr
Example-5-6\rev_1\resource_share1.srs
Example-5-6\rev_1\resource_share1.sxr
Example-5-6\rev_1\resource_share1.tcl
Example-5-6\rev_1\resource_share1.tlg
Example-5-6\rev_1\resource_share1.vqm
Example-5-6\rev_1\resource_share1.xrf
Example-5-6\rev_1\resource_share1_cons.tcl
Example-5-6\rev_1\resource_share1_rm.tcl
Example-5-6\rev_1\resource_share2.fse
Example-5-6\rev_1\resource_share2.srd
Example-5-6\rev_1\resource_share2.srm
Example-5-6\rev_1\resource_share2.srr
Example-5-6\rev_1\resource_share2.srs
Example-5-6\rev_1\resource_share2.sxr
Example-5-6\rev_1\resource_share2.tcl
Example-5-6\rev_1\resource_share2.tlg
Example-5-6\rev_1\resource_share2.vqm
Example-5-6\rev_1\resource_share2.xrf
Example-5-6\rev_1\resource_share2_cons.tcl
Example-5-6\rev_1\resource_share2_rm.tcl
Example-5-6\rev_1\rpt_resource_share1.areasrr
Example-5-6\rev_1\rpt_resource_share1_areasrr.htm
Example-5-6\rev_1\rpt_resource_share2.areasrr
Example-5-6\rev_1\rpt_resource_share2_areasrr.htm
Example-5-6\rev_1\syntmp\resource_share1.msg
Example-5-6\rev_1\syntmp\resource_share1.plg
Example-5-6\rev_1\syntmp\resource_share1_cons_ui.tcl
Example-5-6\rev_1\syntmp\resource_share2.plg
Example-5-6\rev_1\syntmp\resource_share2_cons_ui.tcl
Example-5-6\rev_1\verif\resource_share1.vif
Example-5-6\rev_1\verif\resource_share2.vif
Example-5-6\source\resource_share1.v
Example-5-6\source\resource_share2.v
Example-5-6\source\syntmp.msg
Example-5-6\示例说明.doc
Example-5-7\mod_copy.prd
Example-5-7\mod_copy.prj
Example-5-7\rev_1\AutoConstraint_mod_copy1.sdc
Example-5-7\rev_1\generic.fse
Example-5-7\rev_1\generic.srd
Example-5-7\rev_1\mod_copy1.edn
Example-5-7\rev_1\mod_copy1.fse
Example-5-7\rev_1\mod_copy1.prf
Example-5-7\rev_1\mod_copy1.srm
Example-5-7\rev_1\mod_copy1.srr
Example-5-7\rev_1\mod_copy1.srs
Example-5-7\rev_1\mod_copy1.tlg
Example-5-7\rev_1\mod_copy2.edn
Example-5-7\rev_1\mod_copy2.fse
Example-5-7\rev_1\mod_copy2.prf
Example-5-7\rev_1\mod_copy2.srm
Example-5-7\rev_1\mod_copy2.srr
Example-5-7\rev_1\mod_copy2.srs
Example-5-7\rev_1\mod_copy2.tlg
Example-5-7\rev_1\syntmp\mod_copy1.msg
Example-5-7\rev_1\syntmp\mod_copy1.plg
Example-5-7\rev_1\syntmp\mod_copy2.plg
Example-5-7\rev_1\syntmp\proj.msg
Example-5-7\source\mod_copy1.v
Example-5-7\source\mod_copy2.v
Example-5-7\source\syntmp.msg
Example-5-7\示例说明.doc
Example-5-8\rev_2\AutoConstraint_shannon_fast.sdc
Example-5-8\rev_2\AutoConstraint_un_shannon.sdc
Example-5-8\rev_2\rpt_shannon_fast.areasrr
Example-5-8\rev_2\rpt_shannon_fast_areasrr.htm
Example-5-8\rev_2\rpt_un_shannon.areasrr
Example-5-8\rev_2\rpt_un_shannon_areasrr.htm
Example-5-8\rev_2\shannon_fast.fse
Example-5-8\rev_2\shannon_fast.srd
Example-5-8\rev_2\shannon_fast.srm
Example-5-8\rev_2\shannon_fast.srr
Example-5-8\rev_2\shannon_fast.srs
Example-5-8\rev_2\shannon_fast.sxr
Example-5-8\rev_2\shannon_fast.tcl
Example-5-8\rev_2\shannon_fast.tlg
Example-5-8\rev_2\shannon_fast.vqm
Example-5-8\rev_2\shannon_fast.xrf
Example-5-8\rev_2\shannon_fast_cons.tcl
Example-5-8\rev_2\shannon_fast_rm.tcl
Example-5-8\rev_2\syntmp\shannon_fast.plg
Example-5-8\rev_2\syntmp\shannon_fast_cons_ui.tcl
Example-5-8\rev_2\syntmp\un_shannon.msg
Example-5-8\rev_2\syntmp\un_shannon.plg
Example-5-8\rev_2\syntmp\un_shannon_cons_ui.tcl
Example-5-8\rev_2\un_shannon.fse
Example-5-8\rev_2\un_shannon.srd
Example-5-8\rev_2\un_shannon.srm
Example-5-8\rev_2\un_shannon.srr
Example-5-8\rev_2\un_shannon.srs
Example-5-8\rev_2\un_shannon.sxr
Example-5-8\rev_2\un_shannon.tcl
Example-5-8\rev_2\un_shannon.tlg
Example-5-8\rev_2\un_shannon.vqm
Example-5-8\rev_2\un_shannon.xrf
Example-5-8\rev_2\un_shannon_cons.tcl
Example-5-8\rev_2\un_shannon_rm.tcl
Example-5-8\rev_2\verif\shannon_fast.vif
Example-5-8\rev_2\verif\un_shannon.vif
Example-5-8\shannon.prd
Example-5-8\shannon.prj
Example-5-8\source\shannon_fast.v
Example-5-8\source\syntmp.msg
Example-5-8\source\un_shannon.v
Example-5-8\示例说明.doc
Example-6-1\FSM\state1\rev_1\AutoConstraint_state1.sdc
Example-6-1\FSM\state1\rev_1\NS.txt
Example-6-1\FSM\state1\rev_1\fsmviewer.fsm
Example-6-1\FSM\state1\rev_1\generic.fse
Example-6-1\FSM\state1\rev_1\generic.srd
Example-6-1\FSM\state1\rev_1\state1.edn
Example-6-1\FSM\state1\rev_1\state1.fse
Example-6-1\FSM\state1\rev_1\state1.prf
Example-6-1\FSM\state1\rev_1\state1.srm
Example-6-1\FSM\state1\rev_1\state1.srr
Example-6-1\FSM\state1\rev_1\state1.srs
Example-6-1\FSM\state1\rev_1\state1.tlg
Example-6-1\FSM\state1\rev_1\state1.vqm
Example-6-1\FSM\state1\rev_1\syntmp\state1.msg
Example-6-1\FSM\state1\rev_1\syntmp\state1.plg
Example-6-1\FSM\state1\rev_1\verif\state1.vif
Example-6-1\FSM\state1\state1.prd
Example-6-1\FSM\state1\state1.prj
Example-6-1\FSM\state1\state1.v
Example-6-1\FSM\state1\syntmp.msg
Example-6-1\FSM\state2\rev_1\AutoConstraint_state2.sdc
Example-6-1\FSM\state2\rev_1\CS.txt
Example-6-1\FSM\state2\rev_1\fsmviewer.fsm
Example-6-1\FSM\state2\rev_1\generic.fse
Example-6-1\FSM\state2\rev_1\generic.srd
Example-6-1\FSM\state2\rev_1\rpt_state2.areasrr
Example-6-1\FSM\state2\rev_1\rpt_state2_areasrr.htm
Example-6-1\FSM\state2\rev_1\state2.edn
Example-6-1\FSM\state2\rev_1\state2.fse
Example-6-1\FSM\state2\rev_1\state2.prf
Example-6-1\FSM\state2\rev_1\state2.srd
Example-6-1\FSM\state2\rev_1\state2.srm
Example-6-1\FSM\state2\rev_1\state2.srr
Example-6-1\FSM\state2\rev_1\state2.srs
Example-6-1\FSM\state2\rev_1\state2.sxr
Example-6-1\FSM\state2\rev_1\state2.tcl
Example-6-1\FSM\state2\rev_1\state2.tlg
Example-6-1\FSM\state2\rev_1\state2.vqm
Example-6-1\FSM\state2\rev_1\state2.xrf
Example-6-1\FSM\state2\rev_1\state2_cons.tcl
Example-6-1\FSM\state2\rev_1\state2_fsm.sdc
Example-6-1\FSM\state2\rev_1\state2_rm.tcl
Example-6-1\FSM\state2\rev_1\syntmp\fsm_tmp_cons_ui.tcl
Example-6-1\FSM\state2\rev_1\syntmp\state2.msg
Example-6-1\FSM\state2\rev_1\syntmp\state2.plg
Example-6-1\FSM\state2\rev_1\syntmp\state2_cons_ui.tcl
Example-6-1\FSM\state2\rev_1\verif\state2.vif
Example-6-1\FSM\state2\state2.prd
Example-6-1\FSM\state2\state2.prj
Example-6-1\FSM\state2\state2.v
Example-6-1\FSM\state2\syntmp.msg
Example-6-1\FSM\state3\rev_2\AutoConstraint_state2.sdc
Example-6-1\FSM\state3\rev_2\CS.txt
Example-6-1\FSM\state3\rev_2\fsmviewer.fsm
Example-6-1\FSM\state3\rev_2\generic.fse
Example-6-1\FSM\state3\rev_2\generic.srd
Example-6-1\FSM\state3\rev_2\state3.edn
Example-6-1\FSM\state3\rev_2\state3.fse
Example-6-1\FSM\state3\rev_2\state3.prf
Example-6-1\FSM\state3\rev_2\state3.srm
Example-6-1\FSM\state3\rev_2\state3.srr
Example-6-1\FSM\state3\rev_2\state3.srs
Example-6-1\FSM\state3\rev_2\state3.tlg
Example-6-1\FSM\state3\rev_2\syntmp\state3.msg
Example-6-1\FSM\state3\rev_2\syntmp\state3.plg
Example-6-1\FSM\state3\state3.prd
Example-6-1\FSM\state3\state3.prj
Example-6-1\FSM\state3\state3.v
Example-6-1\FSM\state_default\rev_2\AutoConstraint_state2_default.sdc
Example-6-1\FSM\state_default\rev_2\CS.txt
Example-6-1\FSM\state_default\rev_2\fsmviewer.fsm
Example-6-1\FSM\state_default\rev_2\generic.fse
Example-6-1\FSM\state_default\rev_2\generic.srd
Example-6-1\FSM\state_default\rev_2\state2_default.edn
Example-6-1\FSM\state_default\rev_2\state2_default.fse
Example-6-1\FSM\state_default\rev_2\state2_default.prf
Example-6-1\FSM\state_default\rev_2\state2_default.srm
Example-6-1\FSM\state_default\rev_2\state2_default.srr
Example-6-1\FSM\state_default\rev_2\state2_default.srs
Example-6-1\FSM\state_default\rev_2\state2_default.tlg
Example-6-1\FSM\state_default\rev_2\syntmp\state2_default.plg
Example-6-1\FSM\state_default\state2_default.v
Example-6-1\FSM\state_default\state_default.prd
Example-6-1\FSM\state_default\state_default.prj
Example-6-1\示例说明.doc
Example-7-1\Proj\MPI.v
Example-7-1\Proj\NorTestBench.v
Example-7-1\Proj\SPRAM.v
Example-7-1\Proj\STM.v
Example-7-1\Proj\Testbench_readme.txt
Example-7-1\Proj\altera_mf.v
Example-7-1\Proj\sim.do
Example-7-1\Proj\wave.do
Example-7-1\示例说明.doc
Example-7-2\Proj\MPI.v
Example-7-2\Proj\NorTestBench.v
Example-7-2\Proj\Read_In_File.txt
Example-7-2\Proj\SPRAM.v
Example-7-2\Proj\STM.v
Example-7-2\Proj\Testbench_readme.txt
Example-7-2\Proj\altera_mf.v
Example-7-2\Proj\sim.do
Example-7-2\Proj\wave.do
Example-7-2\示例说明.doc
Example-7-3\Proj\MPI.v
Example-7-3\Proj\NorTestBench.v
Example-7-3\Proj\Read_In_File.txt
Example-7-3\Proj\SPRAM.v
Example-7-3\Proj\STM.v
Example-7-3\Proj\altera_mf.v
Example-7-3\Proj\harness.v
Example-7-3\Proj\sim.do
Example-7-3\Proj\testcase.v
Example-7-3\Proj\uP_BFM.v
Example-7-3\Proj\wave.do
Example-7-3\示例说明.doc
Example-7-4\Proj\MPI.v
Example-7-4\Proj\NorTestBench.v
Example-7-4\Proj\Read_In_File.txt
Example-7-4\Proj\SPRAM.v
Example-7-4\Proj\STM.v
Example-7-4\Proj\Sim.do
Example-7-4\Proj\altera_mf.v
Example-7-4\Proj\harness.v
Example-7-4\Proj\testcase.v
Example-7-4\Proj\uP_BFM.v
Example-7-4\Proj\wave.do
Example-7-4\示例说明.doc
Example-8-1\sim\INV_DFF.v
Example-8-1\sim\sim.do
Example-8-1\示例说明.doc
Example-8-2\Blocking_LHS_Delay\sim.do
Example-8-2\Blocking_LHS_Delay\tb.v
Example-8-2\Blocking_LHS_Delay\wave.do
Example-8-2\Blocking_RHS_Delay\sim.do
Example-8-2\Blocking_RHS_Delay\tb.v
Example-8-2\Blocking_RHS_Delay\wave.do
Example-8-2\NonBlocking_LHS_Delay\sim.do
Example-8-2\NonBlocking_LHS_Delay\tb.v
Example-8-2\NonBlocking_LHS_Delay\wave.do
Example-8-2\NonBlocking_RHS_Delay\sim.do
Example-8-2\NonBlocking_RHS_Delay\tb.v
Example-8-2\NonBlocking_RHS_Delay\wave.do
Example-8-2\示例说明.doc
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\INCR\AREA
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\INCR\AREA
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\INCR\AREA
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\INCR\AREA
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\INCR\AREA
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\INCR\AREA
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\EXEM_MACRO_DIR
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\INCR
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\MEM
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\NET
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\NM
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out\depend
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc_libs\work
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\EXEM_MACRO_DIR
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\INCR
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\MEM
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\NET
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\NM
Example-4-20\decode\case\decode_case_impl_1\rtlc.out\depend
Example-4-20\decode\case\decode_case_impl_1\rtlc_libs\work
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\EXEM_MACRO_DIR
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\INCR
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\MEM
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\NET
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\NM
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out\depend
Example-4-20\decode\if_mult\precision_impl_1\rtlc_libs\work
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\EXEM_MACRO_DIR
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\INCR
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\MEM
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\NET
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\NM
Example-4-20\decode\if_single\precision_impl_1\rtlc.out\depend
Example-4-20\decode\if_single\precision_impl_1\rtlc_libs\work
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\EXEM_MACRO_DIR
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\INCR
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\MEM
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\NET
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\NM
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out\depend
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc_libs\work
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\EXEM_MACRO_DIR
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\INCR
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\MEM
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\NET
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\NM
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out\depend
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc_libs\work
Example-4-14\clk_3div\sim\work\clk_3div
Example-4-14\clk_3div\sim\work\clk_3div_tb
Example-4-14\clk_3div\synthesis\rev_1\syntmp
Example-4-14\clk_div_phase\sim\work\clk_div_phase
Example-4-14\clk_div_phase\sim\work\clk_div_phase_tb
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc.out
Example-4-20\case\PrecisionRTL\case_impl_1\rtlc_libs
Example-4-20\case\SynplifyPro\rev_2\par_1
Example-4-20\case\SynplifyPro\rev_2\syntmp
Example-4-20\decode\case\decode_case_impl_1\rtlc.out
Example-4-20\decode\case\decode_case_impl_1\rtlc_libs
Example-4-20\decode\case\rev_1\syntmp
Example-4-20\decode\if_mult\precision_impl_1\rtlc.out
Example-4-20\decode\if_mult\precision_impl_1\rtlc_libs
Example-4-20\decode\if_mult\rev_2\syntmp
Example-4-20\decode\if_single\precision_impl_1\rtlc.out
Example-4-20\decode\if_single\precision_impl_1\rtlc_libs
Example-4-20\decode\if_single\rev_1\syntmp
Example-4-20\if_mult\Latch_if_mult\rev_2\syntmp
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc.out
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1\rtlc_libs
Example-4-20\if_mult\SynplifyPro\rev_1\syntmp
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc.out
Example-4-20\if_single\PrecisionRTL\if_single_impl_1\rtlc_libs
Example-4-20\if_single\SynplifyPro\rev_2\syntmp
Example-6-1\FSM\state1\rev_1\syntmp
Example-6-1\FSM\state1\rev_1\verif
Example-6-1\FSM\state2\rev_1\syntmp
Example-6-1\FSM\state2\rev_1\verif
Example-6-1\FSM\state3\rev_2\syntmp
Example-6-1\FSM\state_default\rev_2\syntmp
Example-4-7\sim\work\clock_edge
Example-4-7\sim\work\clock_edge_tb
Example-4-8\sim\work\decode_cmb
Example-4-8\sim\work\decode_cmb2
Example-4-8\sim\work\decode_cmb_tb
Example-4-10\bibus\rev_1\syntmp
Example-4-10\bibus\rev_1\verif
Example-4-10\complex_bibus\rev_1\par_1
Example-4-10\complex_bibus\rev_1\syntmp
Example-4-10\complex_bibus\rev_1\verif
Example-4-13\ram_basic\rev_2\par_1
Example-4-13\ram_basic\rev_2\syntmp
Example-4-13\ram_basic\rev_2\verif
Example-4-13\sim\work\ram_basic
Example-4-13\sim\work\ram_basic_tb
Example-4-14\clk_3div\sim\work
Example-4-14\clk_3div\synthesis\rev_1
Example-4-14\clk_div_phase\rev_1\par_1
Example-4-14\clk_div_phase\rev_1\syntmp
Example-4-14\clk_div_phase\rev_1\verif
Example-4-14\clk_div_phase\sim\work
Example-4-17\asyn_rst\rev_1\syntmp
Example-4-17\syn_rst\rev_2\par_1
Example-4-17\syn_rst\rev_2\syntmp
Example-4-20\case\PrecisionRTL\case_impl_1
Example-4-20\case\SynplifyPro\rev_2
Example-4-20\decode\case\decode_case_impl_1
Example-4-20\decode\case\rev_1
Example-4-20\decode\if_mult\precision_impl_1
Example-4-20\decode\if_mult\rev_2
Example-4-20\decode\if_single\precision_impl_1
Example-4-20\decode\if_single\rev_1
Example-4-20\if_mult\Latch_if_mult\rev_2
Example-4-20\if_mult\PrecisionRTL\if_mult_impl_1
Example-4-20\if_mult\SynplifyPro\rev_1
Example-4-20\if_single\PrecisionRTL\if_single_impl_1
Example-4-20\if_single\SynplifyPro\rev_2
Example-4-21\asyn_bad\rev_1\syntmp
Example-4-21\oe_edge\rev_2\syntmp
Example-4-21\syn_wr\rev_1\syntmp
Example-5-1\after_optimized\rev_2\syntmp
Example-5-1\before_optimized\rev_1\syntmp
Example-6-1\FSM\state1\rev_1
Example-6-1\FSM\state2\rev_1
Example-6-1\FSM\state3\rev_2
Example-6-1\FSM\state_default\rev_2
Example-4-1\rev_1\par_1
Example-4-1\rev_1\syntmp
Example-4-4\rev_2\par_1
Example-4-4\rev_2\syntmp
Example-4-4\rev_2\verif
Example-4-7\rev_2\par_1
Example-4-7\rev_2\syntmp
Example-4-7\sim\work
Example-4-8\rev_2\syntmp
Example-4-8\sim\work
Example-4-10\bibus\rev_1
Example-4-10\complex_bibus\rev_1
Example-4-11\rev_1\syntmp
Example-4-11\rev_1\verif
Example-4-13\ram_basic\rev_2
Example-4-13\sim\work
Example-4-14\clk_3div\sim
Example-4-14\clk_3div\synthesis
Example-4-14\clk_div_phase\rev_1
Example-4-14\clk_div_phase\sim
Example-4-16\rev_1\par_1
Example-4-16\rev_1\syntmp
Example-4-16\rev_1\verif
Example-4-17\asyn_rst\rev_1
Example-4-17\syn_rst\rev_2
Example-4-17\syn_rst\sim
Example-4-20\case\PrecisionRTL
Example-4-20\case\SynplifyPro
Example-4-20\decode\case
Example-4-20\decode\if_mult
Example-4-20\decode\if_single
Example-4-20\if_mult\Latch_if_mult
Example-4-20\if_mult\PrecisionRTL
Example-4-20\if_mult\SynplifyPro
Example-4-20\if_single\PrecisionRTL
Example-4-20\if_single\SynplifyPro
Example-4-21\asyn_bad\rev_1
Example-4-21\oe_edge\rev_2
Example-4-21\syn_wr\rev_1
Example-5-1\after_optimized\rev_2
Example-5-1\before_optimized\rev_1
Example-5-1\soure\after_optimized
Example-5-1\soure\before_optimized
Example-5-5\rev_2\par_1
Example-5-5\rev_2\syntmp
Example-5-5\rev_2\verif
Example-5-6\rev_1\syntmp
Example-5-6\rev_1\verif
Example-5-7\rev_1\par_1
Example-5-7\rev_1\syntmp
Example-5-8\rev_2\syntmp
Example-5-8\rev_2\verif
Example-6-1\FSM\state1
Example-6-1\FSM\state2
Example-6-1\FSM\state3
Example-6-1\FSM\state_default
Example-4-1\rev_1
Example-4-1\source
Example-4-4\rev_2
Example-4-4\sim
Example-4-4\source
Example-4-7\rev_2
Example-4-7\sim
Example-4-7\source
Example-4-8\rev_2
Example-4-8\sim
Example-4-8\source
Example-4-10\bibus
Example-4-10\complex_bibus
Example-4-10\source
Example-4-11\rev_1
Example-4-11\source
Example-4-13\ram_basic
Example-4-13\sim
Example-4-13\source
Example-4-14\clk_3div
Example-4-14\clk_div_phase
Example-4-14\source
Example-4-16\rev_1
Example-4-16\source
Example-4-17\asyn_rst
Example-4-17\asyn_rst_syn_release
Example-4-17\source
Example-4-17\syn_rst
Example-4-20\case
Example-4-20\decode
Example-4-20\if_mult
Example-4-20\if_single
Example-4-21\asyn_bad
Example-4-21\oe_edge
Example-4-21\syn_wr
Example-5-1\after_optimized
Example-5-1\before_optimized
Example-5-1\soure
Example-5-5\rev_2
Example-5-5\source
Example-5-6\rev_1
Example-5-6\source
Example-5-7\rev_1
Example-5-7\source
Example-5-8\rev_2
Example-5-8\source
Example-6-1\FSM
Example-7-1\Proj
Example-7-2\Proj
Example-7-3\Proj
Example-7-4\Proj
Example-8-1\sim
Example-8-2\Blocking_LHS_Delay
Example-8-2\Blocking_RHS_Delay
Example-8-2\NonBlocking_LHS_Delay
Example-8-2\NonBlocking_RHS_Delay
Example-2-1
Example-3-1
Example-3-2
Example-3-3
Example-4-1
Example-4-4
Example-4-7
Example-4-8
Example-4-10
Example-4-11
Example-4-13
Example-4-14
Example-4-16
Example-4-17
Example-4-20
Example-4-21
Example-5-1
Example-5-5
Example-5-6
Example-5-7
Example-5-8
Example-6-1
Example-7-1
Example-7-2
Example-7-3
Example-7-4
Example-8-1
Example-8-2

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org