文件名称:miniMIPS

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 217kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • tsm***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

这是一个基于mips-I结构的处理器,32bit,冯诺依曼结构-This is based on a MIPS- I structure of the processor, 32bit, von Neumann structure
(系统自动生成,下载前可以参看下载内容)

下载文件列表

COPYING

gasm

....\include

....\.......\adaptateur.h

....\.......\analyseur.h

....\.......\debogueur.h

....\.......\dialogue.h

....\.......\formateur.h

....\.......\parametres.h

....\.......\preparateur.h

....\.......\preprocesseur.h

....\.......\synthetiseur.h

....\lib

....\main.c

....\Makefile

....\Makefile.dep

....\psd_instr.sx

....\readme.txt

....\src

....\...\adaptateur.c

....\...\analyseur.c

....\...\dialogue.c

....\...\formateur.c

....\...\parametres.c

....\...\preparateur.c

....\...\preprocesseur.c

....\...\synthetiseur.c

....\Syntaxe

miniMIPS

........\bench

........\.....\bench_minimips.vhd

........\.....\ram.vhd

........\.....\rom.vhd

........\documentation

........\.............\doc_pps_ei.pdf

........\.............\doc_pps_pf.pdf

........\.............\doc_predict.pdf

........\src

........\...\alu.vhd

........\...\banc.vhd

........\...\bus_ctrl.vhd

........\...\minimips.vhd

........\...\pack_mips.vhd

........\...\pps_di.vhd

........\...\pps_ei.vhd

........\...\pps_ex.vhd

........\...\pps_mem.vhd

........\...\pps_pf.vhd

........\...\renvoi.vhd

........\...\syscop.vhd

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org