文件名称:multiplier

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 9kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • che***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

8*8乘法器及其测试:采用booth编码的乘法器:1.  ultipler_quick_add_4

即4位的并行全加器,在这里主要起了两个作用:第一个是在求部分积单元时,当编码为3x时用来输出部分积;另外一个是在将部分积加起来时,求3到6位时所用到。

2.  ultiplier_quick_add_5

即5位的并行全加器,这里用来分别计算积的7到11位和12到16位。

3.  ultiplier_unit_4

这个模块是用来实现部分积的,每一个模块实现一个部分积的4位,因此一个部分积需要4个这个模块来实现。总共需要12个这样的模块。

4.Multiplier_full_add

这是一位的全加器,在实现部分积相加的时候,通过全加器的阵列来实现的。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

multiplier_patial_product.v

multiplier_patial_product_bak.v

multiplier_quick_add_4.v

multiplier_quick_add_5.v

multiplier_top.v

multiplier_top_bak.v

multiplier_unit.v

multiplier_unit_bak.v

test_multiplier_full_add.v

test_multiplier_patial_product.v

test_multiplier_top.v

test_multiplier_unit.v

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org