文件名称:6-portRegisterFile

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 28kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 周**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

6端口寄存器IP内核VHDL源代码,所需的开发环境是QUARTUS II 6.0。-6-port register IP core VHDL source code, required for the development environment is QUARTUS II 6.0.
相关搜索: vhdl

(系统自动生成,下载前可以参看下载内容)

下载文件列表

6port_register_file

...................\6port_register_file.txt

...................\demux_generic.arch

...................\demux_generic.dec

...................\demux_generic.ent

...................\d_latch.arch

...................\D_LATCH.DEC

...................\D_LATCH.ENT

...................\HARDWARE.PKG

...................\integer_class.bdy

...................\integer_class.pkg

...................\integer_plus.bdy

...................\integer_plus.pkg

...................\mux_generic.arch

...................\mux_generic.dec

...................\mux_generic.ent

...................\REG_FILE.CMP

...................\REG_FILE.SIM

...................\reg_file_32_8_6port.arch

...................\reg_file_32_8_6port.dec

...................\reg_file_32_8_6port.ent

...................\reg_file_32_8_6port_tb.arch

...................\reg_file_class.pkg

...................\std_logic_class.bdy

...................\std_logic_class.pkg

...................\std_logic_plus.bdy

...................\std_logic_plus.pkg

...................\string_plus.bdy

...................\string_plus.pkg

...................\time_class.bdy

...................\time_class.pkg

...................\VFP.CMP

6port_register_file.vhd

copyright.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org