文件名称:vhdl

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1.75mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • j***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

vhdl基本门电路,都是些比较经典的设计实例-VHDL basic gate circuit, are relatively more examples of classic design
(系统自动生成,下载前可以参看下载内容)

下载文件列表

vhdl基本门电路

..............\gvhdl

..............\.....\aa.vhd

..............\.....\adder4.vhd

..............\.....\alarm.vhd

..............\.....\alpher.vhd

..............\.....\alpher2.vhd

..............\.....\and.vhd

..............\.....\and2p62.vhd

..............\.....\and2_1.vhd

..............\.....\and2_2.vhd

..............\.....\and2_3.vhd

..............\.....\and2_comp.vhd

..............\.....\bb.vhd

..............\.....\bcdadd4.vhd

..............\.....\bin.vhd

..............\.....\bpac.vhd

..............\.....\CNT2V.VHD

..............\.....\cntm100v.vhd

..............\.....\cntm24v.vhd

..............\.....\cntm60v.vhd

..............\.....\count60m.vhd

..............\.....\count60s.vhd

..............\.....\counter.vhd

..............\.....\counter241.vhd

..............\.....\counter601.vhd

..............\.....\counter67.vhd

..............\.....\current8for.vhd

..............\.....\current8while.vhd

..............\.....\d.vhd

..............\.....\dataclk.vhd

..............\.....\decode.vhd

..............\.....\demo.vhd

..............\.....\dff1.vhd

..............\.....\dfff1.vhd

..............\.....\divp98.vhd

..............\.....\doudong.vhd

..............\.....\er.vhd

..............\.....\ex.vhd

..............\.....\ex1.vhd

..............\.....\FREE_D.VHD

..............\.....\gat.vhd

..............\.....\ggg.vhd

..............\.....\gray.vhd

..............\.....\half51.vhd

..............\.....\half_1.vhd

..............\.....\inv_comp.vhd

..............\.....\jk.vhd

..............\.....\l12.vhd

..............\.....\latch.vhd

..............\.....\ls160.vhd

..............\.....\mul4.vhd

..............\.....\mux.vhd

..............\.....\mux1.vhd

..............\.....\MUX3V.VHD

..............\.....\MUX61.VHD

..............\.....\mypack.vhd

..............\.....\mypack1.vhd

..............\.....\mypack2.vhd

..............\.....\nand.vhd

..............\.....\nand_2.vhd

..............\.....\nuux.vhd

..............\.....\nux.vhd

..............\.....\or2_comp.vhd

..............\.....\peakdetect.vhd

..............\.....\pkg_example.vhd

..............\.....\pluse.vhd

..............\.....\pros_com.vhd

..............\.....\pwide.vhd

..............\.....\roms.vhd

..............\.....\sample.vhd

..............\.....\saos.vhd

..............\.....\SEG471.VHD

..............\.....\sh_reg.vhd

..............\.....\s_reg.vhd

..............\.....\test25.vhd

..............\.....\test47.vhd

..............\.....\test48.vhd

..............\.....\text38.vhd

..............\.....\text47.vhd

..............\.....\textpro1.vhd

..............\.....\vote7.vhd

..............\.....\wand_vhdl.vhd

..............\.....\WAVEFORM.VHD

..............\.....\waveforms.vhd

..............\.....\we2.vhd

..............\vhdl

..............\....\gvhdl

..............\....\.....\aa.vhd

..............\....\.....\adder4.vhd

..............\....\.....\alarm.vhd

..............\....\.....\alpher.vhd

..............\....\.....\alpher2.vhd

..............\....\.....\and.vhd

..............\....\.....\and2p62.vhd

..............\....\.....\and2_1.vhd

..............\....\.....\and2_2.vhd

..............\....\.....\and2_3.vhd

..............\....\.....\and2_comp.vhd

..............\....\.....\bb.vhd

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org