文件名称:(fpga)sdram

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 19.01mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • c*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

verilog 代码,读写SDRAM 不带仿真,需要自己编写测试文件-Verilog code, read and write SDRAM simulation without the need to prepare their own test documentation
(系统自动生成,下载前可以参看下载内容)

下载文件列表

FPGA读写SDRAM的实例

...................\vga_system

...................\..........\altpll0.bsf

...................\..........\altpll0.ppf

...................\..........\altpll0.v

...................\..........\altpll0_bb.v



...................\..........\altpll0_waveforms.html

...................\..........\burst_0.v

...................\..........\cpu.v

...................\..........\cpu_bht_ram.mif

...................\..........\cpu_dc_tag_ram.mif

...................\..........\cpu_ic_tag_ram.mif

...................\..........\cpu_jtag_debug_module.v

...................\..........\cpu_jtag_debug_module_wrapper.v

...................\..........\cpu_mult_cell.v

...................\..........\cpu_ociram_default_contents.mif

...................\..........\cpu_rf_ram_a.mif

...................\..........\cpu_rf_ram_b.mif

...................\..........\cpu_test_bench.v

...................\..........\db

...................\..........\..\altsyncram_9tl1.tdf

...................\..........\..\altsyncram_abn1.tdf

...................\..........\..\altsyncram_b4e1.tdf

...................\..........\..\altsyncram_chp1.tdf

...................\..........\..\altsyncram_cub1.tdf

...................\..........\..\altsyncram_e502.tdf

...................\..........\..\altsyncram_ji01.tdf

...................\..........\..\altsyncram_k1l1.tdf

...................\..........\..\altsyncram_l6e1.tdf

...................\..........\..\altsyncram_lde1.tdf

...................\..........\..\altsyncram_m6e1.tdf

...................\..........\..\altsyncram_mge1.tdf

...................\..........\..\altsyncram_reb1.tdf

...................\..........\..\altsyncram_t072.tdf

...................\..........\..\altsyncram_uce1.tdf

...................\..........\..\altsyncram_veb1.tdf

...................\..........\..\alt_synch_pipe_0e8.tdf

...................\..........\..\alt_synch_pipe_1e8.tdf

...................\..........\..\a_dpfifo_8t21.tdf

...................\..........\..\a_fefifo_7cf.tdf

...................\..........\..\a_gray2bin_ldb.tdf

...................\..........\..\a_graycounter_fgc.tdf

...................\..........\..\a_graycounter_ggc.tdf

...................\..........\..\a_graycounter_p96.tdf

...................\..........\..\cntr_fjb.tdf

...................\..........\..\cntr_rj7.tdf

...................\..........\..\dcfifo_min1.tdf

...................\..........\..\decode_aoi.tdf

...................\..........\..\ded_mult_2o81.tdf

...................\..........\..\dffpipe_93c.tdf

...................\..........\..\dffpipe_mcc.tdf

...................\..........\..\dffpipe_pe9.tdf

...................\..........\..\dffpipe_qe9.tdf

...................\..........\..\dffpipe_re9.tdf

...................\..........\..\dpram_5h21.tdf

...................\..........\..\mult_add_4cr2.tdf

...................\..........\..\mult_add_6cr2.tdf

...................\..........\..\mux_1u7.tdf

...................\..........\..\prev_cmp_vga_system.fit.qmsg

...................\..........\..\prev_cmp_vga_system.map.qmsg

...................\..........\..\prev_cmp_vga_system.qmsg

...................\..........\..\scfifo_5n21.tdf

...................\..........\..\vga_system.asm.qmsg

...................\..........\..\vga_system.asm_labs.ddb

...................\..........\..\vga_system.cbx.xml

...................\..........\..\vga_system.cmp.bpm

...................\..........\..\vga_system.cmp.cdb

...................\..........\..\vga_system.cmp.ecobp

...................\..........\..\vga_system.cmp.hdb

...................\..........\..\vga_system.cmp.logdb

...................\..........\..\vga_system.cmp.rdb

...................\..........\..\vga_system.cmp.tdb

...................\..........\..\vga_system.cmp0.ddb

...................\..........\..\vga_system.cmp2.ddb

...................\..........\..\vga_system.cmp_bb.cdb

...................\..........\..\vga_system.cmp_bb.hdb

...................\..........\..\vga_system.cmp_bb.logdb

...................\..........\..\vga_system.cmp_bb.rcf

...................\..........\..\vga_system.dbp

...................\..........\..\

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org