文件名称:8051IP

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 998kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

8051的IP,采用VHDL语言描述,支持intel的HEX格式,包括中断,定时器等.-8051 IP, the use of VHDL language descr iption, support intel s HEX format, including the interruption, such as timers.
相关搜索: 8051IP
VHDL
8051
vhdl

(系统自动生成,下载前可以参看下载内容)

下载文件列表

8051_IP

.......\8051 Instruction Set Simulator (C++)

.......\....................................\Dalton UCR.htm

.......\....................................\i8051.cc.txt

.......\....................................\i8051.h.txt

.......\....................................\main.cc.txt

.......\....................................\Makefile.txt

.......\8051 Power Benchmarks

.......\.....................\Benchmarks for Synthesizeable VHDL Model of 8051.htm

.......\.....................\csumex.c

.......\.....................\csumex.hex

.......\.....................\dct.c

.......\.....................\dct.hex

.......\.....................\fib.c

.......\.....................\fib.hex

.......\.....................\gcd.c

.......\.....................\gcd.hex

.......\.....................\matrix.c

.......\.....................\matrix.hex

.......\.....................\pid.c

.......\.....................\pid.hex

.......\.....................\sort.c

.......\.....................\sort.hex

.......\.....................\sqroot.c

.......\.....................\sqroot.hex

.......\8051 Power Tuning Environment

.......\.............................\Description of Power Analysis Tools.htm

.......\.............................\i8051pwranalysis.tgz

.......\.............................\install.scr

.......\.............................\Power Scripts for i8051 Synthesizable Model.files



.......\.............................\Power Scripts for i8051 Synthesizable Model.htm

.......\.............................\xdu-3_0_tar.txt

.......\8051 Synopsys Synthesizable Model

.......\.................................\cast.c

.......\.................................\cast.hex

.......\.................................\divmul.c

.......\.................................\divmul.hex

.......\.................................\fib.c

.......\.................................\fib.hex

.......\.................................\gcd.c

.......\.................................\gcd.hex

.......\.................................\i8051_all.ER

.......\.................................\i8051_all.vhd

.......\.................................\i8051_alu.vhd

.......\.................................\i8051_ctr.vhd

.......\.................................\i8051_dbg.vhd

.......\.................................\i8051_dec.vhd

.......\.................................\i8051_lib.vhd

.......\.................................\i8051_mkr.c

.......\.................................\i8051_ram.vhd

.......\.................................\i8051_rom.vhd

.......\.................................\i8051_tsb.vhd

.......\.................................\i8051_xrm.vhd

.......\.................................\int2bin.c

.......\.................................\int2bin.hex

.......\.................................\negcnt.c

.......\.................................\negcnt.hex

.......\.................................\S95.log

.......\.................................\sort.c

.......\.................................\sort.hex

.......\.................................\source_2.1.tar.gz

.......\.................................\source_2.2.tar.gz

.......\.................................\source_2.3.tar.gz

.......\.................................\source_2.4.tar.gz

.......\.................................\source_2.5.tar.gz

.......\.................................\source_2.6.tar.gz

.......\.................................\source_2.7.tar.gz

.......\.................................\source_2.8.tar.gz

.......\.................................\source_2.9.tar.gz

.......\.................................\sqroot.c

.......\.................................\sqroot.hex

.......\.................................\Synthesizeable VHDL Model of 8051.files



.......\.................................\Synthesizeable VHDL Model of 8051.htm

.......\.................................\syn_alu.inc.txt

.......\.................................\syn_ctr.inc.txt

.......\.................................\syn_dec.inc.txt

.......\.................................\syn_ram.inc.txt

.......\.................................\syn_rom.inc.txt

......

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org