文件名称:CCD

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 147kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 吕**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

用TCD1501D驱动器产生CCD驱动的6个输出信号RS、CP、SP、SH以及Φ1、Φ2脉冲-Produced by CCD drive TCD1501D driven six output signal RS, CP, SP, SH, and Φ1, Φ2 pulse
相关搜索: ccd
CCD
VHDL
vhdl
CCD
VHDL

(系统自动生成,下载前可以参看下载内容)

下载文件列表

ccd.acf

ccd.gdf

ccd.hif

ccd.sym

cpm.acf

cpm.fit

cpm.hif

cpm.mmf

cpm.ndb

cpm.pin

cpm.pof

cpm.rpt

cpm.scf

cpm.snf

CPM.sym

CPm.tdf

CPm.vhd

fpq.acf

fpq.fit

fpq.hif

FPQ.inc

fpq.mmf

fpq.ndb

fpq.pin

fpq.pof

fpq.rpt

fpq.scf

fpq.snf

FPQ.sym

fpq.vhd

LIB.DLS

rsm.acf

rsm.fit

rsm.hif

rsm.mmf

rsm.ndb

rsm.pin

rsm.pof

rsm.rpt

rsm.scf

rsm.snf

RSM.sym

RSm.vhd

rsmm.acf

rsmm.hif

rsmm.mmf

rsmm.vhd

shm.acf

shm.fit

shm.hif

shm.mmf

shm.ndb

shm.pin

shm.pof

shm.rpt

shm.scf

shm.snf

SHM.sym

SHm.vhd

U0714194.DLS

U1044480.DLS

U1227236.DLS

U2420369.DLS

U3330486.DLS

U3438275.DLS

U5552897.DLS

U6071237.DLS

U6584051.DLS

U6889666.DLS

U7200610.DLS

U7484794.DLS

U7502096.DLS

U7624998.DLS

U8831480.DLS

U9350016.DLS

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org