文件名称:verilog_examples_resource_code

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 159kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 陈*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

verilog学习资料,各种verilog代码,举例。-some examples for verilog
相关搜索: verilog
resour

(系统自动生成,下载前可以参看下载内容)

下载文件列表

verilog examples resource code

..............................\chap10

..............................\......\acc.acf

..............................\......\acc.hif

..............................\......\acc.v

..............................\......\accn.v

..............................\......\add8.v

..............................\......\adder8.v

..............................\......\block1.v

..............................\......\block2.v

..............................\......\block3.v

..............................\......\block4.v

..............................\......\control.v

..............................\......\fsm.v

..............................\......\longframe1.v

..............................\......\longframe2.v

..............................\......\pipeline.v

..............................\......\reg8.v

..............................\......\resource1.v

..............................\......\resource2.v

..............................\chap11

..............................\......\account.v

..............................\......\clock.v

..............................\......\count10.v

..............................\......\fre_ctrl.v

..............................\......\latch_16.v

..............................\......\paobiao.v

..............................\......\sell.v

..............................\......\song.v

..............................\......\traffic.v

..............................\chap12

..............................\......\add_ahead.v

..............................\......\add_bx.v

..............................\......\add_jl.v

..............................\......\add_tree.v

..............................\......\correlator.v

..............................\......\crc.v

..............................\......\cycle.v

..............................\......\decoder1.v

..............................\......\decoder2.v

..............................\......\fir.v

..............................\......\linear.v

..............................\......\mult.v

..............................\......\mult4x4.v

..............................\chap3

..............................\.....\adder4.acf

..............................\.....\adder4.hif

..............................\.....\adder4.ndb

..............................\.....\adder4.v

..............................\.....\adder_tp.v

..............................\.....\aoi.v

..............................\.....\count4.v

..............................\.....\count4_tp.v

..............................\chap5

..............................\.....\adder.v

..............................\.....\adder16.v

..............................\.....\alu.v

..............................\.....\block.v

..............................\.....\buried_ff.v

..............................\.....\compile.v

..............................\.....\count.v

..............................\.....\count60.v

..............................\.....\decode4_7.v

..............................\.....\loop1.v

..............................\.....\loop2.v

..............................\.....\loop3.v

..............................\.....\mult_for.v

..............................\.....\mult_repeat.v

..............................\.....\mux21_1.v

..............................\.....\mux21_2.v

..............................\.....\mux4_1.v

..............................\.....\mux_casez.v

..............................\.....\non_block.v

..............................\.....\test.v

..............................\.....\voter7.v

..............................\.....\wave1.v

..............................\.....\wave2.v

..............................\chap6

..............................\.....\alutask.v

..............................\.....\alu_tp.v

..............................\.....\code_83.v

..............................\.....\count.v

..............................\.....\funct.v

..............................\.....\funct_tp.v

..............................\.....\paral1.v

..............................\.....\paral2.v

..............................\.....\serial1.v

..............................\.....\serial2.v

..............................\chap7

...

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org