文件名称:timer

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 648kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • dora****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

能够实现小时(24进制)、分钟和秒钟(60进制)的计数功能

具有复位功能

功能扩展:具有整点报时提示、定时闹钟等功能

-To achieve an hour (24 hexadecimal), minutes and seconds (60 hexadecimal) count function function reset function expansion: with the whole point timekeeping tips, regular features such as alarm clock
(系统自动生成,下载前可以参看下载内容)

下载文件列表

timer

.....\db

.....\..\prev_cmp_timer.asm.qmsg

.....\..\prev_cmp_timer.fit.qmsg

.....\..\prev_cmp_timer.map.qmsg

.....\..\prev_cmp_timer.qmsg

.....\..\prev_cmp_timer.sim.qmsg

.....\..\prev_cmp_timer.tan.qmsg

.....\..\rom0_TIMER_594d017.hdl.mif

.....\..\timer.asm.qmsg

.....\..\timer.asm_labs.ddb

.....\..\timer.cbx.xml

.....\..\timer.cmp.bpm

.....\..\timer.cmp.cdb

.....\..\timer.cmp.ecobp

.....\..\timer.cmp.hdb

.....\..\timer.cmp.logdb

.....\..\timer.cmp.rdb

.....\..\timer.cmp.tdb

.....\..\timer.cmp0.ddb

.....\..\timer.cmp_bb.cdb

.....\..\timer.cmp_bb.hdb

.....\..\timer.cmp_bb.logdb

.....\..\timer.cmp_bb.rcf

.....\..\timer.dbp

.....\..\timer.db_info

.....\..\timer.eco.cdb

.....\..\timer.eds_overflow

.....\..\timer.fit.qmsg

.....\..\timer.hier_info

.....\..\timer.hif

.....\..\timer.map.bpm

.....\..\timer.map.cdb

.....\..\timer.map.ecobp

.....\..\timer.map.hdb

.....\..\timer.map.logdb

.....\..\timer.map.qmsg

.....\..\timer.map_bb.cdb

.....\..\timer.map_bb.hdb

.....\..\timer.map_bb.logdb

.....\..\timer.pre_map.cdb

.....\..\timer.pre_map.hdb

.....\..\timer.psp

.....\..\timer.pss

.....\..\timer.rtlv.hdb

.....\..\timer.rtlv_sg.cdb

.....\..\timer.rtlv_sg_swap.cdb

.....\..\timer.sgdiff.cdb

.....\..\timer.sgdiff.hdb

.....\..\timer.signalprobe.cdb

.....\..\timer.sim.cvwf

.....\..\timer.sim.hdb

.....\..\timer.sim.qmsg

.....\..\timer.sld_design_entry.sci

.....\..\timer.sld_design_entry_dsc.sci

.....\..\timer.syn_hier_info

.....\..\timer.tan.qmsg

.....\..\timer.tis_db_list.ddb

.....\..\wed.wsf

.....\DLED.vhd

.....\DLED.vhd.bak

.....\DTIMER.vhd

.....\DTIMER.vhd.bak

.....\FTIMER.vhd

.....\FTIMER.vhd.bak

.....\timer.asm.rpt

.....\timer.cdf

.....\timer.done

.....\timer.dpf

.....\timer.fit.rpt

.....\timer.fit.smsg

.....\timer.fit.summary

.....\timer.flow.rpt

.....\timer.map.rpt

.....\timer.map.summary

.....\timer.pin

.....\timer.pof

.....\timer.qpf

.....\timer.qsf

.....\timer.sim.rpt

.....\timer.sof

.....\timer.tan.rpt

.....\timer.tan.summary

.....\TIMER.vhd

.....\TIMER.vhd.bak

.....\timer.vwf

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org