文件名称:qiangda

  • 所属分类:
  • 软件工程
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 298kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • h***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

l、设计用于竞赛的四人抢答器,功能如下:

(1) 有多路抢答器,台数为四;

(2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;

(3) 能显示超前抢答台号并显示犯规警报;

(4) 能显示各路得分,并具有加、减分功能;

2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。

3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路

-l, designed for Answer four contests, and features are as follows: (1) Answer the way how, and the number to four (2) Answer 20 seconds after the beginning of the countdown, countdown to 20 seconds after the Answer shows no overtime, and report to the police (3) can show in advance Answer Desk No. foul alarm and display (4) can display various scoring with Canada, by sub-function 2, the system reset after entering the Answer state, all the way when pressing the Answer key , the signals will be the rest of the way each Answer Answer blockade, ring tones at the same time, release the button until the road, the road signs showing the number Answer Desk. 3, using VHDL language design meets the functional requirements of the above Answer four devices, and design method-level design of the circuit
(系统自动生成,下载前可以参看下载内容)

下载文件列表

qiangda.doc

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org