搜索资源列表

  1. qiangda

    0下载:
  2. 许多比赛中,为了准确 、公正、直观判断出第一抢答者,通常设计一台抢答器。通过抢答器的数显、灯光和音响等手段指示第一抢答者。同时,还可以设置定时、记分、犯规以及奖惩等多功能
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:101774
    • 提供者:zhuzi200803
  1. qiangda

    0下载:
  2. 一个基于C51的多功能抢答器的源码及文档-a source code and document of **** based on C51
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:9674
    • 提供者:胡友进
  1. qiangda

    0下载:
  2. 一个基于C51的多功能抢答器的源码及文档-a source code and document of**** based on C51
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:9216
    • 提供者:胡友进
  1. qiangdaqi

    0下载:
  2. 设计一个可容纳四组参赛的数字式抢答器,每组设一个按钮供抢答使用。抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设置一个主持人“复位”按钮,主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,用指示灯显示抢答组别,扬声器发出2—3s的音响。 设置犯规电路,对提前抢答和超时答题(例如3min)的组别鸣笛示警,并由组别显示电路显示出犯规组别。 设置一个计分电路,每组开始预置10分,由主持人记分,答对一次加1
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-08
    • 文件大小:103424
    • 提供者:曾国帆
  1. qiangdaqi

    0下载:
  2. 抢答器。可以直接用QUARTUS2运行,解压无需密码。以前我们做实验的时候用的这个-Answer devices. Can be directly used QUARTUS2 running, unzip without a password. Previous experiments when we used to do this
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-08
    • 文件大小:254976
    • 提供者:catalina
  1. qiangda

    0下载:
  2. 许多比赛中,为了准确 、公正、直观判断出第一抢答者,通常设计一台抢答器。通过抢答器的数显、灯光和音响等手段指示第一抢答者。同时,还可以设置定时、记分、犯规以及奖惩等多功能-Many competitions, for accurate, impartial, intuitive judgments Answer From the first, usually to design a device Answer. Answer devi
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:101376
    • 提供者:zhuzi200803
  1. qiangdaqi

    0下载:
  2. 实现抢答器功能,30秒的倒数,抢答控制均可以,-Answer to achieve function, 30 seconds of the countdown for the Answer can be controlled,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-08
    • 文件大小:243712
    • 提供者:涂亮
  1. Auto-Qiangda

    0下载:
  2. 自动打铃器 运用单片机的编写的,不仅含有图形还有编程-Automatic bell device prepared by use of single chip not only contains the graphics there are programming
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:59392
    • 提供者:liu06313204
  1. qiangda

    1下载:
  2. 抢答器,服务端和客端都是通过PC来实现问答和抢答的工能,下载可直接运行-Answer, and service client and clients are achieved through the PC and Answer Q
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:260096
    • 提供者:程希望
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能
  3. 所属分类:软件工程

    • 发布日期:2024-05-08
    • 文件大小:305152
    • 提供者:hugh
  1. qiangda

    0下载:
  2. 利用单片机做的抢答器,有程序和PROTUES仿真图。-qiangdaqi with 51mcu
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:49152
    • 提供者:黄少华
  1. qiangda

    0下载:
  2. PROTEUS 仿真 4人抢答器 汇编语言编程 学校课程设计-PROTEUS device simulation Answer 4 Assembly Language Programming
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-08
    • 文件大小:41984
    • 提供者:JX
  1. QIANGDA

    0下载:
  2. 4路抢答器,multisim8电路图设计-Answer 4 browser, multisim8 circuit design
  3. 所属分类:其他小程序

    • 发布日期:2024-05-08
    • 文件大小:117760
    • 提供者:A.n.T
  1. Taotao

    0下载:
  2. 比较好的一个网站,功能qiangda,自己在学校里做的-比较好的一个网站,功能qiangda
  3. 所属分类:Web服务器

    • 发布日期:2024-05-08
    • 文件大小:56320
    • 提供者:孙送
  1. Tancle-new

    0下载:
  2. 比较好的一个网站,功能qiangda。新的程序代码,用VS2005做的
  3. 所属分类:WEB源码

    • 发布日期:2024-05-08
    • 文件大小:126976
    • 提供者:孙送
  1. qiangda

    0下载:
  2. EDA课程设计,是四路智力抢答器的vdhl程序,里面还有我自己录课程视频。仅作为参考!-EDA curriculum design, is a quad of vdhl intellectual Responder program, which was recorded courses and my own video. Only as a reference!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-08
    • 文件大小:9161728
    • 提供者:shaozhen
  1. qiangda

    0下载:
  2. 抢答器的仿真程序,付有线路图,和模拟仿真图-QIANG DA QI
  3. 所属分类:压缩解压

    • 发布日期:2024-05-08
    • 文件大小:48128
    • 提供者:李看
  1. qiangda

    0下载:
  2. EDA课程设计智力抢答器 四路抢答器的设计以及程序和视屏 软件运行环境是:Quartus 9.1-EDA curriculum design intelligence Responder four answering device design and process and Screen software operating environment is:Quartus 9.1
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-08
    • 文件大小:9161728
    • 提供者:shaozhen
  1. qiangda

    0下载:
  2. 抢答器,很好的抢答器设计方案和实施。抢答器,很好的抢答器设计方案和实施-Responder, good answer device design and implementation. Responder, a very good answer device design and implementation
  3. 所属分类:软件工程

    • 发布日期:2024-05-08
    • 文件大小:112640
    • 提供者:NIUYUANLAI
  1. qiangda

    0下载:
  2. 设计的四人多路抢答器,基于vhdl开发环境-this project is based on vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-08
    • 文件大小:1024
    • 提供者:束方健
« 12 3 »

源码中国 www.ymcn.org