文件名称:liushuideng

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [PPT]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1.69mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 赵**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果-Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achievement of water, running water followed by the effect of LED lights turn on, and the second when the lights go out the first 2. Key switch with two kinds of water have the effect of
(系统自动生成,下载前可以参看下载内容)

下载文件列表

EDA技术与VerilogL实验教程.ppt

流水灯(亮).txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org