文件名称:FFT

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 13.86mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • xion****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

OFDM系统中FFT的VerilogHDL语言实现-FFT of OFDM system VerilogHDL language
(系统自动生成,下载前可以参看下载内容)

下载文件列表

fftshixian

..........\.recordref

..........\.untf

..........\automake.log

..........\bitgen.ut

..........\buffer.edn

..........\buffer.fse

..........\buffer.htm

..........\buffer.ncf

..........\buffer.prj

..........\buffer.sdc

..........\buffer.srd

..........\buffer.srm

..........\buffer.srr

..........\buffer.srs

..........\buffer.tlg

..........\buffer.v

..........\buffer_compile.tcl

..........\buffer_map.tcl

..........\buffer_testbuf_v_tf.fdo

..........\buffer_testbuf_v_tf.udo

..........\control.v

..........\converse.v

..........\core.tpl

..........\coregen.log

..........\coregen.prj

..........\couter.v

..........\couter10_bit.v

..........\cs.coe

..........\csr.xco

..........\csr.xcp

..........\datah.coe

..........\datal.coe

..........\FFTshixian.dhp

..........\FFTshixian.npl

..........\fulram.ucf

..........\get.xco

..........\get.xcp

..........\get_cs.v

..........\get_ram.xco

..........\get_ram.xcp

..........\hjhj.xco

..........\hjhj.xcp

..........\hjj.asy

..........\hjj.coregen_log

..........\hjj.edn

..........\hjj.mif

..........\hjj.sym

..........\hjj.v

..........\hjj.veo

..........\hjj.vhd

..........\hjj.vho

..........\hjj.xco

..........\hjj.xcp

..........\hjj_flist.txt

..........\hjk.asy

..........\hjk.edn

..........\hjk.mif

..........\hjk.sym

..........\hjk.v

..........\hjk.veo

..........\hjk.vhd

..........\hjk.vho

..........\hjk.xco

..........\hjk.xcp

..........\hjk_flist.txt

..........\mul.asy

..........\mul.sym

..........\mul.v

..........\mul.veo

..........\mul.vhd

..........\mul.vho

..........\mul.xco

..........\mul.xcp

..........\mult.v

..........\mult_testmul_v_tf.fdo

..........\mult_testmul_v_tf.udo

..........\mul_flist.txt

..........\ram.v

..........\ramcs.asy

..........\ramcs.edn

..........\ramcs.mif

..........\ramcs.sym

..........\ramcs.v

..........\ramcs.veo

..........\ramcs.vhd

..........\ramcs.vho

..........\ramcs.xco

..........\ramcs.xcp

..........\ramcs_flist.txt

..........\ramdata.xco

..........\ramdatai.asy

..........\ramdatai.coe

..........\ramdatai.edn

..........\ramdatai.mif

..........\ramdatai.sym

..........\ramdatai.v

..........\ramdatai.veo

..........\ramdatai.vhd

..........\ramdatai.vho

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org