文件名称:ADC0809VHDL

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 4kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • wan****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

8.4 ADC0809 VHDL控制程序

见随书所附光盘中文件:ADC0809VHDL程序与仿真。

--文件名:ADC0809.vhd

--功能:基于VHDL语言,实现对ADC0809简单控制

--说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系

--统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。

--最后修改日期:2004.3.20

-8.4 ADC0809 VHDL control procedures, see the book with accompanying CD-ROM in the file: ADC0809VHDL procedures and simulation.- File Name: ADC0809.vhd- features: Based on the VHDL language, to achieve a simple control ADC0809- Descr iption: ADC0809 internal clock does not need external 10KHz ~ 1290Hz clock signal, here by the Department of FPGA- EC clock (50MHz ) by the 256 sub-frequency has been clk1 (195KHz) as ADC0809 clock conversion work.- Last modified date: 2004.3.20
相关搜索: ADC0809
ADC0809
VHDL

(系统自动生成,下载前可以参看下载内容)

下载文件列表

ADC0809VHDL.doc

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org