文件名称:VHDLbasicExampleDEVELOPEMENTsoursE

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 136kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • w***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

这里收录的是《VHDL基础及经典实例开发》一书中12个大型实例的源程序。为方便读者使用,介绍如下:

Chapter3:schematic和vhdl文件夹,分别是数字钟设计的原理图文件和VHDL程序;

Chapter4:multiplier文件夹,串并乘法器设计程序(提示:先编译程序包);

Chapter5:sci文件夹,串行通信接口设计程序;

Chapter6:watchdog文件夹,看门狗设计程序;

Chapter7:taxi文件夹,出租车计价器设计程序;

Chapter8:elevator文件夹,高层电梯控制器设计程序;

Chapter9:cymometer1和cymometer2文件夹,前者是计数测频设计程序,后者是等精度测频设计程序;

Chapter10:digital_lock文件夹,数字密码锁设计程序;

Chapter11:I2C文件夹,I2C控制器设计程序;

Chapter12:fifo文件夹,异步FIFO设计程序;

Chapter13:dds文件夹,数字频率合成设计程序;

Chapter14:vLA文件夹,虚拟逻辑分析仪设计程序。

-this book includes 12 detail examples of the source program
(系统自动生成,下载前可以参看下载内容)

下载文件列表

《VHDL基础及经典实例开发》源程序

................................\Chapter10

................................\.........\digital_lock

................................\.........\............\dl_cmp.vhd

................................\.........\............\dl_coder.vhd

................................\.........\............\dl_control.vhd

................................\.........\............\dl_counter.vhd

................................\.........\............\dl_reg.vhd

................................\.........\............\dl_top.gdf

................................\.........\............\dl_top.vhd

................................\Chapter11

................................\.........\I2C

................................\.........\...\i2c.vhd

................................\.........\...\i2c_control.vhd

................................\.........\...\shift.vhd

................................\.........\...\uc_interface.vhd

................................\.........\...\upcnt4.vhd

................................\Chapter12

................................\.........\fifo

................................\.........\....\empty_cmp.bsf

................................\.........\....\empty_cmp.vhd

................................\.........\....\fifo.bdf

................................\.........\....\fifo.bsf

................................\.........\....\full_cmp.bsf

................................\.........\....\full_cmp.vhd

................................\.........\....\full_empty.bdf

................................\.........\....\full_empty.bsf

................................\.........\....\G2B.bsf

................................\.........\....\G2B.vhd

................................\.........\....\gray.bsf

................................\.........\....\gray.vhd

................................\.........\....\ramdp3.bsf

................................\.........\....\ramdp3.cmp

................................\.........\....\ramdp3.inc

................................\.........\....\ramdp3.vhd

................................\.........\....\ramdp3_inst.vhd

................................\.........\....\Sync.bsf

................................\.........\....\Sync.vhd

................................\Chapter13

................................\.........\DDS

................................\.........\...\8_cd4527.gdf

................................\.........\...\cd4527.gdf

................................\.........\...\dds.vhd

................................\.........\...\fre_div.gdf

................................\.........\...\interface.vhd

................................\.........\...\phasesum.vhd

................................\.........\...\ramdp.inc

................................\.........\...\ramdp.vhd

................................\.........\...\ramdp_inst.vhd

................................\.........\...\top.gdf

................................\.........\...\t_modify.gdf

................................\Chapter14

................................\.........\vLA

................................\.........\...\ADDRER.sym

................................\.........\...\addrer.vhd

................................\.........\...\BUF.sym

................................\.........\...\buf.vhd

................................\.........\...\cmp.sym

................................\.........\...\cmp.vhd

................................\.........\...\cmp_inst.vhd

................................\.........\...\DECIPHER0.sym

................................\.........\...\decipher0.vhd

................................\.........\...\DECODE.sym

................................\.........\...\decode.vhd

................................\.........\...\FREQ.sym

................................\.........\...\freq.vhd

................................\.........\...\FRE_CODE.sym

................................\.........\...\fre_code.vhd

................................\.........\...\hunter.gdf

................................\.........\...\hunter.sym

................................\.........\...\MY373.sym

..................

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org