文件名称:51

  • 所属分类:
  • 嵌入式/单片机编程
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1.83mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • l***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

MC8051可综合源代码,方便使用,简单易懂-mc8051 syphily core
(系统自动生成,下载前可以参看下载内容)

下载文件列表

51\33\33.ise

..\..\33.ise_ISE_Backup

..\..\fd.ant

..\..\fd.jhd

..\..\fd.tbw

..\..\fd.vhw

..\..\fd.xwv

..\..\fd.xwv_bak

..\..\fd_beh.prj

..\..\fd_beh__vhdl.prj

..\..\fd_beh__vlog.prj

..\..\isim\work\fd\fd.h

..\..\....\....\..\mingw\fd.obj

..\..\....\....\glbl\glbl.h

..\..\....\....\....\mingw\glbl.obj

..\..\....\....\hdllib.ref

..\..\....\....\hdpdeps.ref

..\..\....\....\mc8051_ram\mc8051_ram_a.h

..\..\....\....\..........\.ingw\mc8051_ram_a.obj

..\..\....\....\.v\mingw\mv_a.obj

..\..\....\....\..\mv_a.h

..\..\....\....\sub00\vhpl00.vho

..\..\....\....\.....\vhpl01.vho

..\..\....\....\.....\vhpl02.vho

..\..\....\....\.....\vhpl03.vho

..\..\....\....\.....\vhpl04.vho

..\..\....\....\.....\vhpl05.vho

..\..\....\....\vlg2D\glbl.bin

..\..\....\....\...3B\xc.bin

..\..\....\....\...62\fd.bin

..\..\....\....\xc\mingw\testbench_arch.obj

..\..\....\....\..\.....\xc.obj

..\..\....\....\..\testbench_arch.h

..\..\....\....\..\xc.h

..\..\....\....\..\xsimtestbench_arch.cpp

..\..\....\xilinxcorelib.auxlib\blkmemsp_pkg_v6_2\blkmemsp_pkg_v6_2.h

..\..\....\....................\.................\mingw\blkmemsp_pkg_v6_2.obj

..\..\....\....................\.........v6_2\behavioral.h

..\..\....\....................\.............\mingw\behavioral.obj

..\..\....\....................\dist_mem_gen_v3_2\behavioral.h

..\..\....\....................\.................\mingw\behavioral.obj

..\..\....\....................\hdllib.ref

..\..\....\....................\iputils_conv\iputils_conv.h

..\..\....\....................\............\mingw\iputils_conv.obj

..\..\....\....................\mem_init_file_pack_v6_2\mem_init_file_pack_v6_2.h

..\..\....\....................\.......................\.ingw\mem_init_file_pack_v6_2.obj

..\..\....\....................\ul_utils\mingw\ul_utils.obj

..\..\....\....................\........\ul_utils.h

..\..\mc8051_ram.vhd

..\..\mv.fdo

..\..\mv.udo

..\..\mv.vhd

..\..\mv_summary.html

..\..\pepExtractor.prj

..\..\transcript

..\..\work\_info

..\..\xc.ant

..\..\xc.jhd

..\..\xc.tbw

..\..\xc.vhw

..\..\xc.xwv

..\..\xc.xwv_bak

..\..\xc_beh.prj

..\..\xc_beh__vhdl.prj

..\..\xc_beh__vlog.prj

..\..\xilinxsim.ini

..\..\.st\work\hdllib.ref

..\..\...\....\hdpdeps.ref

..\..\...\....\sub00\vhpl00.vho

..\..\...\....\.....\vhpl01.vho

..\..\...\....\.....\vhpl02.vho

..\..\...\....\.....\vhpl03.vho

..\..\_xmsgs\fuse.xmsgs

..\..\......\vhpcomp.xmsgs

..\51testbench.vhd

..\.5\55.ise

..\..\55.ise_ISE_Backup

..\..\df.jhd

..\..\df.sch

..\..\mv.asy

..\..\mv.ngc

..\..\mv.sym

..\..\mv.v

..\..\mv.veo

..\..\mv.vhd

..\..\mv.vho

..\..\mv.xco

..\..\mv_flist.txt

..\..\mv_readme.txt

..\..\mv_xmdf.tcl

..\..\templates\coregen.xml

..\addsub_core.vhd

..\addsub_cy.vhd

..\addsub_ovcy.vhd

..\alucore.vhd

..\alumux.vhd

..\comb_divider.vhd

..\comb_mltplr.vhd

..\control_fsm.vhd

..\control_mem.vhd

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org