文件名称:Verilogexamples

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 3.47mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • kind****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

Verilog初学编程实例,包括源程序及QuartusⅡ仿真结果,适合初学者了解学习-Verilog beginner programming examples, including source code and Quartus Ⅱ simulation results, suitable for beginners to understand the learning
(系统自动生成,下载前可以参看下载内容)

下载文件列表

CPLD实例应用\SONGER.vhd

............\LED_0_9.v

............\tryfunct.v

............\blocking.v

............\frequency5x2.v

............\............\frequency5x2.qpf

............\............\frequency5x2.qsf

............\............\frequency5x2.map.summary

............\............\frequency5x2.map.rpt

............\............\frequency5x2.pin

............\............\frequency5x2.fit.smsg

............\............\frequency5x2.fit.summary

............\............\frequency5x2.fit.rpt

............\............\frequency5x2.pof

............\............\frequency5x2.asm.rpt

............\............\frequency5x2.tan.rpt

............\............\frequency5x2.flow.rpt

............\............\frequency5x2.done

............\............\frequency5x2.vwf

............\............\frequency5x2.sim.rpt

............\............\frequency5x2.v.bak

............\............\frequency5x2.v

............\............\frequency5x2.qws

............\............\incremental_db\README

............\............\..............\compiled_partitions\frequency5x2.root_partition.map.kpt

............\............\db\frequency5x2.db_info

............\............\..\frequency5x2.cbx.xml

............\............\..\prev_cmp_frequency5x2.map.qmsg

............\............\..\frequency5x2.map.qmsg

............\............\..\frequency5x2.hif

............\............\..\frequency5x2.hier_info

............\............\..\frequency5x2.rtlv_sg.cdb

............\............\..\frequency5x2.rtlv.hdb

............\............\..\frequency5x2.rtlv_sg_swap.cdb

............\............\..\frequency5x2.pre_map.hdb

............\............\..\frequency5x2.pre_map.cdb

............\............\..\frequency5x2.map.logdb

............\............\..\frequency5x2.sgdiff.cdb

............\............\..\frequency5x2.sgdiff.hdb

............\............\..\frequency5x2.syn_hier_info

............\............\..\frequency5x2.map.cdb

............\............\..\frequency5x2.map.hdb

............\............\..\frequency5x2.fit.qmsg

............\............\..\frequency5x2.cmp.logdb

............\............\..\frequency5x2.cmp.kpt

............\............\..\frequency5x2.tis_db_list.ddb

............\............\..\frequency5x2.asm.qmsg

............\............\..\frequency5x2.asm_labs.ddb

............\............\..\frequency5x2.tan.qmsg

............\............\..\frequency5x2.cmp.tdb

............\............\..\frequency5x2.cmp0.ddb

............\............\..\frequency5x2.cmp.cdb

............\............\..\frequency5x2.cmp.hdb

............\............\..\frequency5x2.cmp.rdb

............\............\..\frequency5x2.eds_overflow

............\............\..\wed.wsf

............\............\..\frequency5x2.fnsim.qmsg

............\............\..\frequency5x2.fnsim.cdb

............\............\..\frequency5x2.fnsim.hdb

............\............\..\frequency5x2.sld_design_entry_dsc.sci

............\............\..\prev_cmp_frequency5x2.sim.qmsg

............\............\..\prev_cmp_frequency5x2.qmsg

............\............\..\frequency5x2.sim.qmsg

............\............\..\frequency5x2.sim.hdb

............\............\..\frequency5x2.sim.cvwf

............\............\..\frequency5x2.sim.rdb

............\............\..\frequency5x2.sld_design_entry.sci

............\............\..\frequency5x2.eco.cdb

............\.ull_adder\full_adder.qpf

............\..........\full_adder.qsf

............\..........\full_adder.bdf

............\..........\full_adder.map.summary

............\..........\full_adder.pin

............\..........\full_adder.fit.smsg

............\..........\full_adder.fit.summary

............\..........\full_adder.pof

............\..........\full_adder.tan.summary

............\..........\full_adder.done

............\..........\full_adder.vwf

............\..........\full_adder.sim.rpt

............\..........\full_adder_inst.v

............\..........\full_adder.map.rpt

............\..........\full_adder.fit.rpt

............\..........\full_adder.asm.rpt

............\..........\full_adder.tan.rpt

............\..........\full_a

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org