文件名称:Cordic-VHDL

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 213kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 赵**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

Cordic算法的VHDL实现,可作为函数调用的代码,节省自己的设计时间-Cordic algorithm VHDL implementation of the code as function calls, saving their own design time
(系统自动生成,下载前可以参看下载内容)

下载文件列表

Cordic算法的VHDL实现\Cordic算法的VHDL实现\cordic\cordic\CVS\Entries

....................\....................\......\......\...\Repository

....................\....................\......\......\...\Root

....................\....................\......\......\documentation\cordic.doc

....................\....................\......\......\.............\cordic.pdf

....................\....................\......\......\.............\CVS\Entries

....................\....................\......\......\.............\...\Repository

....................\....................\......\......\.............\...\Root

....................\....................\......\......\polar2rect\CVS\Entries

....................\....................\......\......\..........\...\Repository

....................\....................\......\......\..........\...\Root

....................\....................\......\......\..........\p2r_cordic.vhd

....................\....................\......\......\..........\p2r_CordicPipe.vhd

....................\....................\......\......\..........\sc_corproc.vhd

....................\....................\......\......\rect2polar\CVS\Entries

....................\....................\......\......\..........\...\Repository

....................\....................\......\......\..........\...\Root

....................\....................\......\......\..........\r2p_cordic.vhd

....................\....................\......\......\..........\r2p_CordicPipe.vhd

....................\....................\......\......\..........\r2p_corproc.vhd

....................\....................\......\......\..........\r2p_post.vhd

....................\....................\......\......\..........\r2p_pre.vhd

....................\....................\......\......2\CVS\Entries

....................\....................\......\.......\...\Repository

....................\....................\......\.......\...\Root

....................\....................\......\.......\docs\cordic_eng.pdf

....................\....................\......\.......\....\CVS\Entries

....................\....................\......\.......\....\...\Repository

....................\....................\......\.......\....\...\Root

....................\....................\......\.......\parallel\cordic16.vhd

....................\....................\......\.......\........\core.vhd

....................\....................\......\.......\........\CVS\Entries

....................\....................\......\.......\........\...\Repository

....................\....................\......\.......\........\...\Root

....................\....................\......\.......\........\post_20b15i.vhd

....................\....................\......\.......\........\pre.vhd

....................\....................\......\.......\........\stage.vhd

....................\使用说明请参看右侧注释====〉〉.txt

....................\Cordic算法的VHDL实现\cordic\cordic\documentation\CVS

....................\....................\......\......\polar2rect\CVS

....................\....................\......\......\rect2polar\CVS

....................\....................\......\......2\docs\CVS

....................\....................\......\.......\parallel\CVS

....................\....................\......\......\CVS

....................\....................\......\......\documentation

....................\....................\......\......\polar2rect

....................\....................\......\......\rect2polar

....................\....................\......\......2\CVS

....................\....................\......\.......\docs

....................\....................\......\.......\parallel

....................\....................\......\cordic

....................\....................\......\cordic2

....................\....................\cordic

....................\Cordic算法的VHDL实现

Cordic算法的VHDL实现

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org