文件名称:52245512671

  • 所属分类:
  • 嵌入式/单片机编程
  • 资源属性:
  • [PDF]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 160kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • ssap****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

试设计一种彩灯控制器控制8盏灯。该彩灯控制器有4种自动切换的花样。第一种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮;第二种花样为彩灯两边同时亮一个逐次向中间移动再散开;第三种花样为彩灯两边同时亮两个逐次向中间移动再散开;第四种花样为彩灯两边同时亮三个,然后四亮四灭,四灭四亮,最后一灭一亮。四个花样自动变换,重复以上过程。输入时钟频率为500Hz,灯亮的时间在1—4秒之间,可以自由控制。电路中以“1”代表灯亮,以“0”代表灯灭-Try to design a lantern light controller 8. The lights automatically switch controller has four kinds of tricks. The first pattern for the lights from right to left, then from left to right successive light, Quanmie all bright second pattern on both sides of the same time for the bright lights of a successive spread to the middle of moving again third pattern is lantern light both sides of two successive move to the middle and then spread out fourth pattern on both sides of the same time for the bright lights of three, then four bright 4 off, 4 off 4 on, the last one off a light. Automatically transform the four patterns, repeat the process. Input clock frequency of 500Hz, the time lights in 1-4 seconds, you can freely control. Circuit with " 1" lights to " 0" lamp
相关搜索: delphi

(系统自动生成,下载前可以参看下载内容)

下载文件列表

52245512671.pdf

电子电路网.htm

集成电路大全.htm

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org