文件名称:VHDL

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 62kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • deng *****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

VHDL语言程序设计及应用的源代码。包括2-12章的内容。内有一个应用实例:数字密码引爆器的设计。-VHDL language and application programming source code. Including the contents of Chapter 2-12. There are a practical example: the design of digital code detonator.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

VHDL\Chapter10\example10-1\basic_dff.vhd

....\.........\...........0\registern.vhd

....\.........\...........1\shift_regn.vhd

....\.........\...........2\shift_reg.vhd

....\.........\...........3\shift_regn.vhd

....\.........\...........4\shift_regn.vhd

....\.........\...........5\counter.vhd

....\.........\...........6\countern.vhd

....\.........\...........7\countern.vhd

....\.........\...........8\async_counter.vhd

....\.........\..........2\sync_rdff.vhd

....\.........\..........3\async_rdff.vhd

....\.........\..........4\sync_rsdff.vhd

....\.........\..........5\async_rsdff.vhd

....\.........\..........6\async_rsjkff.vhd

....\.........\..........7\tff.vhd

....\.........\..........8\latch_74ls373.vhd

....\.........\..........9\reg_74ls374.vhd

....\........1\example11-1\store_controller.vhd

....\.........\...........0\store_controller.vhd

....\.........\...........1\store_controller.vhd

....\.........\..........2\store_controller.vhd

....\.........\..........3\store_controller.vhd

....\.........\..........4\store_controller.vhd

....\.........\..........5\store_controller.vhd

....\.........\..........6\store_controller.vhd

....\.........\..........7\store_controller.vhd

....\.........\..........8\store_controller.vhd

....\.........\..........9\store_controller.vhd

....\........2\example12-1&2\fire_d.vhd

....\.........\...........0\kcoun102.vhd

....\.........\...........1\kcoun10.vhd

....\.........\...........2\kcoun20.vhd

....\.........\...........3\se7.vhd

....\.........\...........4\kinvert.vhd

....\.........\...........5\control.vhd

....\.........\..........3\ksy.vhd

....\.........\..........4\kbian.vhd

....\.........\..........5\kcom.vhd

....\.........\..........6\k4mux.vhd

....\.........\..........7\kcount.vhd

....\.........\..........8\kf_f.vhd

....\.........\..........9\kcoun101.vhd

....\.......2\example2-1\and_gate.vhd

....\.......3\example3-1\counter.vhd

....\........\.........2\and_gate.vhd

....\........\.........3\and_gate4.vhd

....\........\.........4\adder.vhd

....\........\.........5\full_adder.vhd

....\........\.........6\full_adder.vhd

....\........\.........7\half_adder.vhd

....\........\.........8\full_adder.vhd

....\.......4\example4-1\mux2.vhd

....\........\..........0\vector_to_int.vhd

....\........\..........1\example.vhd

....\........\..........2\comparison.vhd

....\........\..........3\example.vhd

....\........\..........4\max.vhd

....\........\..........5\example.vhd

....\........\..........6\max.vhd

....\........\..........7\example.vhd

....\........\.........2\dff.vhd

....\........\.........3\full_adder.vhd

....\........\.........4\cpu.vhd

....\........\.........5\dff.vhd

....\........\.........6\sync_communication.vhd

....\........\.........7\vector_to_int.vhd

....\........\.........8\example.vhd

....\........\.........9\convert.vhd

....\.......5\example5-1\bus_and.vhd

....\........\..........0\mux4.vhd

....\........\..........1\mux4.vhd

....\........\..........2\vector_to_int.vhd

....\........\..........3\logic_and.vhd

....\........\..........4\logic_and.vhd

....\........\..........5\maximum.vhd

....\........\..........6\mux4.vhd

....\........\..........7\rsff.vhd

....\........\.........2\bus_and.vhd

....\........\.........3\reset_dff1.vhd

....\........\.........4\reset_dff2.vhd

....\........\.........5\clk_generator.vhd

....\........\.........6\example.vhd

....\........\.........7\example.vhd

....\........\.........8\adder.vhd

....\........\.........9\mux2.vhd

....\.......6\example6-1\gate_circuits.vhd

....\........\..........0\example.vhd

....\........\..........1\shift_reg.vhd

....\........\..........2\shift_reg.vhd

....\........\..........3\shift_reg.vhd

....\........\.........2\gate_circuits.vhd

....\........\.........3\and_gate.vhd

....\........\.........4\and_gate.vhd

....\........\.........5\mux4.vhd

....\........\.........6\example.vhd

....\........\.........7\example.vhd

....\........\.........8\and_gate.vhd

....\........\.........9\example.vhd

....\.......7\example7-1\vector_to_int.vhd

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org