文件名称:adder

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 392kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • Saint******
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

完成8位全加器功能,从最底层的半加器到1位全加器在到8位全加器的完整设计-adder
(系统自动生成,下载前可以参看下载内容)

下载文件列表

adder\.sopc_builder\install.ptf

.....\8_h_adder.asm.rpt

.....\8_h_adder.bdf

.....\8_h_adder.bsf

.....\8_h_adder.cdf

.....\8_h_adder.done

.....\8_h_adder.fit.rpt

.....\8_h_adder.fit.smsg

.....\8_h_adder.fit.summary

.....\8_h_adder.flow.rpt

.....\8_h_adder.map.rpt

.....\8_h_adder.map.summary

.....\8_h_adder.pin

.....\8_h_adder.pof

.....\8_h_adder.qpf

.....\8_h_adder.qsf

.....\8_h_adder.qws

.....\8_h_adder.sim.rpt

.....\8_h_adder.sof

.....\8_h_adder.tan.rpt

.....\8_h_adder.tan.summary

.....\8_h_adder.vwf

.....\db\8_h_adder.asm.qmsg

.....\..\8_h_adder.cbx.xml

.....\..\8_h_adder.cmp.cdb

.....\..\8_h_adder.cmp.hdb

.....\..\8_h_adder.cmp.kpt

.....\..\8_h_adder.cmp.logdb

.....\..\8_h_adder.cmp.rdb

.....\..\8_h_adder.cmp.tdb

.....\..\8_h_adder.cmp0.ddb

.....\..\8_h_adder.dbp

.....\..\8_h_adder.db_info

.....\..\8_h_adder.eco.cdb

.....\..\8_h_adder.eds_overflow

.....\..\8_h_adder.fit.qmsg

.....\..\8_h_adder.hier_info

.....\..\8_h_adder.hif

.....\..\8_h_adder.map.cdb

.....\..\8_h_adder.map.hdb

.....\..\8_h_adder.map.logdb

.....\..\8_h_adder.map.qmsg

.....\..\8_h_adder.pre_map.cdb

.....\..\8_h_adder.pre_map.hdb

.....\..\8_h_adder.psp

.....\..\8_h_adder.pss

.....\..\8_h_adder.rpp.qmsg

.....\..\8_h_adder.rtlv.hdb

.....\..\8_h_adder.rtlv_sg.cdb

.....\..\8_h_adder.rtlv_sg_swap.cdb

.....\..\8_h_adder.sgate.rvd

.....\..\8_h_adder.sgate_sm.rvd

.....\..\8_h_adder.sgdiff.cdb

.....\..\8_h_adder.sgdiff.hdb

.....\..\8_h_adder.signalprobe.cdb

.....\..\8_h_adder.sim.hdb

.....\..\8_h_adder.sim.qmsg

.....\..\8_h_adder.sim.rdb

.....\..\8_h_adder.sim.vwf

.....\..\8_h_adder.sld_design_entry.sci

.....\..\8_h_adder.sld_design_entry_dsc.sci

.....\..\8_h_adder.syn_hier_info

.....\..\8_h_adder.tan.qmsg

.....\..\f_adder.asm.qmsg

.....\..\f_adder.cbx.xml

.....\..\f_adder.cmp.cdb

.....\..\f_adder.cmp.hdb

.....\..\f_adder.cmp.kpt

.....\..\f_adder.cmp.logdb

.....\..\f_adder.cmp.rdb

.....\..\f_adder.cmp.tdb

.....\..\f_adder.cmp0.ddb

.....\..\f_adder.dbp

.....\..\f_adder.db_info

.....\..\f_adder.eco.cdb

.....\..\f_adder.eds_overflow

.....\..\f_adder.fit.qmsg

.....\..\f_adder.hier_info

.....\..\f_adder.hif

.....\..\f_adder.map.cdb

.....\..\f_adder.map.hdb

.....\..\f_adder.map.logdb

.....\..\f_adder.map.qmsg

.....\..\f_adder.pre_map.cdb

.....\..\f_adder.pre_map.hdb

.....\..\f_adder.psp

.....\..\f_adder.pss

.....\..\f_adder.rpp.qmsg

.....\..\f_adder.rtlv.hdb

.....\..\f_adder.rtlv_sg.cdb

.....\..\f_adder.rtlv_sg_swap.cdb

.....\..\f_adder.sgate.rvd

.....\..\f_adder.sgate_sm.rvd

.....\..\f_adder.sgdiff.cdb

.....\..\f_adder.sgdiff.hdb

.....\..\f_adder.signalprobe.cdb

.....\..\f_adder.sim.hdb

.....\..\f_adder.sim.qmsg

.....\..\f_adder.sim.rdb

.....\..\f_adder.sim.vwf

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org