文件名称:dff

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • j**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

用VHDL语言编写的带进位、置位、复位的D触发器,异步清零D触发器,同步清零D触发器-library ieee

use ieee.std_logic_1164.all

use ieee.std_logic_unsigned.all

entity exp7_10 is

  port(  clk: in std_logic

      d: in std_logic

      clr: in std_logic

      en,s:in std_logic

      q: out std_logic

  )

end exp7_10

architecture bhv of exp7_10 is

  signal q1: std_logic

begin

  process(clk)

  begin

    if(clk event and clk= 1 )  then  

      if(en= 1 )  then

        if(clr= 1 )  then  q1<= 0 

        elsif(s= 1 )  then  q1<= 1 

        else  q1<=d

        end if

      end if

    end if

  end process

  q<=q1

end bhv
(系统自动生成,下载前可以参看下载内容)

下载文件列表

dff.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org