文件名称:RISCcpu

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Windows] [程序]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 138kb
  • 下载次数:
  • 1次
  • 提 供 者:
  • Harsh******
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

this verilog model of RISC CPU-this is verilog model of RISC CPU
相关搜索: risc
cpu

(系统自动生成,下载前可以参看下载内容)

下载文件列表

lab10\.simvision\dbrowser-bookmarks

.....\..........\schematic-bookmarks

.....\..........\source-bookmarks

.....\aasd.v

.....\aasd.v~

.....\alu.v

.....\alu.v~

.....\clk_gen.v

.....\clk_gen.v~

.....\control.v

.....\control.v~

.....\counter.v

.....\counter.v~

.....\cpu.v

.....\cpu.v~

.....\INCA_libs\irun.lnx86.08.20.nc\.ncrun.lock

.....\.........\...................\.ncv.lock

.....\.........\...................\bind.lst.lnx86

.....\.........\...................\cds.lib

.....\.........\...................\cdsrun.lib

.....\.........\...................\dcd144.ecs.csun.edu_19944\ncsim.args

.....\.........\...................\.........................\ncsim.env

.....\.........\...................\.........................\ncverilog.args

.....\.........\...................\....................20811\ncsim.args

.....\.........\...................\.........................\ncsim.env

.....\.........\...................\.........................\ncverilog.args

.....\.........\...................\.....................1494\ncsim.args

.....\.........\...................\.........................\ncsim.env

.....\.........\...................\.........................\ncverilog.args

.....\.........\...................\files.ts

.....\.........\...................\hdl.var

.....\.........\...................\hdlrun.var

.....\.........\...................\ncelab.args

.....\.........\...................\ncelab.env

.....\.........\...................\ncelab.hrd

.....\.........\...................\ncsim.args

.....\.........\...................\ncsim.env

.....\.........\...................\ncsim_restart.args

.....\.........\...................\ncsim_restart.env

.....\.........\...................\ncverilog.args

.....\.........\...................\ncvlog.args

.....\.........\...................\ncvlog.env

.....\.........\...................\ncvlog.files

.....\.........\snap.nc\.ncrun.lock

.....\.........\.......\.ncv.lock

.....\.........\.......\bind.lst.lnx86

.....\.........\.......\cds.lib

.....\.........\.......\cdsrun.lib

.....\.........\.......\dcd144.ecs.csun.edu_19944\ncsim.args

.....\.........\.......\.........................\ncsim.env

.....\.........\.......\.........................\ncverilog.args

.....\.........\.......\....................20811\ncsim.args

.....\.........\.......\.........................\ncsim.env

.....\.........\.......\.........................\ncverilog.args

.....\.........\.......\.....................1494\ncsim.args

.....\.........\.......\.........................\ncsim.env

.....\.........\.......\.........................\ncverilog.args

.....\.........\.......\files.ts

.....\.........\.......\hdl.var

.....\.........\.......\hdlrun.var

.....\.........\.......\ncelab.args

.....\.........\.......\ncelab.env

.....\.........\.......\ncelab.hrd

.....\.........\.......\ncsim.args

.....\.........\.......\ncsim.env

.....\.........\.......\ncsim_restart.args

.....\.........\.......\ncsim_restart.env

.....\.........\.......\ncverilog.args

.....\.........\.......\ncvlog.args

.....\.........\.......\ncvlog.env

.....\.........\.......\ncvlog.files

.....\.........\worklib\.cdsvmod

.....\.........\.......\.inca.db.169.lnx86

.....\.........\.......\cdsinfo.tag

.....\.........\.......\inca.lnx86.169.pak

.....\mem_file.txt

.....\mem_file.txt~

.....\ncverilog.key

.....\ncverilog.log

.....\ram.v

.....\ram.v~

.....\register.v

.....\register.v~

.....\risedge.v

.....\risedge.v~

.....\run.f

.....\run.f~

.....\scale_mux.v

.....\scale_mux.v~

.....\tb_cpu.v

.....\tb_cpu.v~

.....\tb_risedge.v

.....\tb_risedge.v~

.....\waves.shm\waves.dsn

.....\.........\waves.trn

.....\xyz.v

.....\xyz.v~

.....\INCA_libs\irun.lnx86.08.20.nc\dcd144.ecs.csun.edu_19944

.....\.........\...................\dcd144.ecs.csun.edu_20811

.....\.........\...................\dcd144.ecs.csun.edu_21494

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org