文件名称:cnt10.vhd

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • cheng******
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

  设计一个10进制同步计数器,带一个清零端,一个进位输出端。(如果改成六进制,应该如何修改程序)

  计数器分为同步计数器和异步计数器两种,是典型的时序电路,分析计数器就能更好的了解时序电路的特性。所谓同步计数器,就是在时钟脉冲的控制下,构成计数器的各触发器同时发生变化的那一类计数器。异步计数器又称行波计数器,它的下一位计数器的输出作为上一位计数器的时钟信号,这样一级一级串接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从而使计数延迟增加,在要求延迟小的领域受到了很大的限制。尽管如此,由于它的电路简单,仍有广泛的应用。

  输入:逻辑开关,单脉冲按钮。输出:LED灯。-Design of a 10-N synchronous counter, with a clear end, a carry output. (If you change the hex, it should be as

Any amendment to the procedure)

Divided into synchronous and asynchronous counter counter counter two, is a typical sequential circuit, analysis of the counter can better understand

Timing characteristics of the circuit. The so-called synchronous counter, that is, under the control of the clock pulse, the counter triggers the same time constitute a change

The kind of counter. Asynchronous counter, also known as traveling wave counter, it s next on the counter output as a counter clock signal

No, this one a tandem together to form an asynchronous counter. Asynchronous counter and synchronous counter difference is that the clock

Pulse mode of delivery, but because of the asynchronous counter using wave count, so count delayed increase in the required areas of the small delay

Has been greatly restricted. However, because of its simple circuit, there are still widel
(系统自动生成,下载前可以参看下载内容)

下载文件列表

cnt10.vhd.txt

cnt10.rar

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org