文件名称:qiangdaqi

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 79kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 王**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

多路抢答器 VHDL语言设计 抢答器是各类竞赛常用的仪器设备之一,它能快速、准确地判决并显示出第一抢答者。本文作者采用MAXPLUSII 软件和MAX7000S芯片,提出了一种四路抢答器的设计方案。该方案具有判断准确、硬件电路简单、容易实现等优点。

关键字:抢答器 竞争 RS触发器 EDA

-Multiple Responder Responder VHDL language design competition of various kinds of equipment used, it can quickly and accurately answer in the first sentence and show those. The author uses MAXPLUSII MAX7000S chip software and proposes a four-way Responder design. The program has to determine accurately, the hardware circuit is simple, easy to implement and so on. Keywords: Responder competitive EDA RS flip-flop
相关搜索: 抢答器
vhdl

(系统自动生成,下载前可以参看下载内容)

下载文件列表

qiangdaqi.doc

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org