文件名称:caideng

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • l**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

用VHDL语言设计实现一个彩灯控制(8个发光二极管)电路,仿真并下载验证其功能。彩灯有两种工作模式,可通过拨码开关或按键进行切换。

?  单点移动模式:一个点在8个发光二极管上来回的亮。

?  幕布式:从中间两个点,同时向两边依次点亮直至全亮, 然后再向中间点灭,依次往复。

-VHDL Language Design and Implementation with a lantern control (8 LEDs) circuit, simulation and download verify its functionality. Carnival has two operating modes, DIP switch or by switching keys. ? Single Mobile Model: a point in eight bright LEDs on the back and forth. ? curtain-style: from the middle of two points, while both sides were lit up to full brightness and then to the mid-point off, and then click back and forth.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

caideng.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org