文件名称:niossmall

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [MacOS] [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 6.88mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 光***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

我配置的nios,占门数少,内存选用的是onchipram-I configured the nios, accounting for a small gate count, memory use is onchipram
(系统自动生成,下载前可以参看下载内容)

下载文件列表

niossmall\.sopc_builder\filters.xml

.........\.............\install.ptf

.........\.............\install2.ptf

.........\.............\preferences.xml

.........\cpu_0.ocp

.........\cpu_0.sdc

.........\cpu_0.v

.........\cpu_0_bht_ram.mif

.........\cpu_0_dc_tag_ram.mif

.........\cpu_0_ic_tag_ram.mif

.........\cpu_0_jtag_debug_module_sysclk.v

.........\cpu_0_jtag_debug_module_tck.v

.........\cpu_0_jtag_debug_module_wrapper.v

.........\cpu_0_mult_cell.v

.........\cpu_0_ociram_default_contents.mif

.........\cpu_0_oci_test_bench.v

.........\cpu_0_rf_ram_a.mif

.........\cpu_0_rf_ram_b.mif

.........\cpu_0_test_bench.v

.........\db\altsyncram_3id1.tdf

.........\..\altsyncram_77c1.tdf

.........\..\altsyncram_9ef1.tdf

.........\..\altsyncram_bcf1.tdf

.........\..\altsyncram_bef1.tdf

.........\..\altsyncram_def1.tdf

.........\..\altsyncram_haf1.tdf

.........\..\altsyncram_hsf1.tdf

.........\..\altsyncram_i0m1.tdf

.........\..\altsyncram_i2d1.tdf

.........\..\altsyncram_i7c1.tdf

.........\..\altsyncram_iaf1.tdf

.........\..\altsyncram_k8g1.tdf

.........\..\altsyncram_l7c1.tdf

.........\..\altsyncram_l872.tdf

.........\..\altsyncram_m8g1.tdf

.........\..\altsyncram_n802.tdf

.........\..\altsyncram_o8g1.tdf

.........\..\altsyncram_tj41.tdf

.........\..\a_dpfifo_h031.tdf

.........\..\a_fefifo_7cf.tdf

.........\..\cntr_4n7.tdf

.........\..\cntr_omb.tdf

.........\..\ded_mult_br81.tdf

.........\..\dffpipe_93c.tdf

.........\..\dpram_ek21.tdf

.........\..\logic_util_heursitic.dat

.........\..\mult_add_dfr2.tdf

.........\..\mult_add_ffr2.tdf

.........\..\nios.amm.cdb

.........\..\nios.asm.qmsg

.........\..\nios.asm.rdb

.........\..\nios.cbx.xml

.........\..\nios.cmp.bpm

.........\..\nios.cmp.cbp

.........\..\nios.cmp.cdb

.........\..\nios.cmp.hdb

.........\..\nios.cmp.kpt

.........\..\nios.cmp.logdb

.........\..\nios.cmp.rdb

.........\..\nios.cmp_merge.kpt

.........\..\nios.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd

.........\..\nios.cuda_io_sim_cache.45um_ss_1200mv_85c_slow.hsd

.........\..\nios.db_info

.........\..\nios.fit.qmsg

.........\..\nios.hier_info

.........\..\nios.hif

.........\..\nios.idb.cdb

.........\..\nios.lpc.html

.........\..\nios.lpc.rdb

.........\..\nios.lpc.txt

.........\..\nios.map.bpm

.........\..\nios.map.cbp

.........\..\nios.map.cdb

.........\..\nios.map.hdb

.........\..\nios.map.kpt

.........\..\nios.map.logdb

.........\..\nios.map.qmsg

.........\..\nios.map_bb.cdb

.........\..\nios.map_bb.hdb

.........\..\nios.map_bb.logdb

.........\..\nios.pre_map.cdb

.........\..\nios.pre_map.hdb

.........\..\nios.rtlv.hdb

.........\..\nios.rtlv_sg.cdb

.........\..\nios.rtlv_sg_swap.cdb

.........\..\nios.sgdiff.cdb

.........\..\nios.sgdiff.hdb

.........\..\nios.sld_design_entry.sci

.........\..\nios.sld_design_entry_dsc.sci

.........\..\nios.smart_action.txt

.........\..\nios.smp_dump.txt

.........\..\nios.sta.qmsg

.........\..\nios.sta.rdb

.........\..\nios.syn_hier_info

.........\..\nios.tis_db_list.ddb

.........\..\nios.tmw_info

.........\..\prev_cmp_nios.qmsg

.........\..\scfifo_aq21.tdf

.........\..\sopcb_tb2_kernel.xml

.........\epcs_flash_controller_0.v

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org