文件名称:ds1wm

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 248kb
  • 下载次数:
  • 1次
  • 提 供 者:
  • Ma***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

DS1WM master for controlling one wire devices like DS18B20
相关搜索: DS1WM
FPGA

(系统自动生成,下载前可以参看下载内容)

下载文件列表

delivery

........\design

........\......\verilog_src

........\......\...........\ds1wm

........\......\...........\.....\clk_prescaler.v

........\......\...........\.....\ds1wm.v

........\......\...........\.....\onewiremaster.v

........\......\...........\.....\one_wire_interface.v

........\......\...........\.....\one_wire_io.v

........\......\vhdl_src

........\......\........\ds1wm

........\......\........\.....\clk_prescaler.vhd

........\......\........\.....\ds1wm.vhd

........\......\........\.....\onewiremaster.vhd

........\......\........\.....\one_wire_interface.vhd

........\......\........\.....\one_wire_io.vhd

........\doc

........\...\DS1WM_Datasheet.pdf

........\...\release_notes.pdf

........\README

........\verification

........\............\verilog_src

........\............\...........\testbench

........\............\...........\.........\clkgen

........\............\...........\.........\......\clkgen.v

........\............\...........\.........\cpu_bfm

........\............\...........\.........\.......\cpu_bfm.v

........\............\...........\.........\ow_slave

........\............\...........\.........\........\cmd_ctrl.v

........\............\...........\.........\........\iox.v

........\............\...........\.........\........\ow_slave.v

........\............\...........\.........\scoreboard

........\............\...........\.........\..........\scoreboard.v

........\............\...........\.........\tb_ds1wm

........\............\...........\.........\........\tb_ds1wm.v

........\............\...........\.........\........\tc_ds1wm.v

........\............\...........\tests

........\............\...........\.....\cmd_recognition

........\............\...........\.....\...............\nc_rundir

........\............\...........\.....\...............\.........\cds.lib

........\............\...........\.....\...............\.........\design_verilog_src_files.lst

........\............\...........\.....\...............\.........\design_vhdl_src_files.lst

........\............\...........\.....\...............\.........\hdl.var

........\............\...........\.....\...............\.........\ncsim.key

........\............\...........\.....\...............\.........\probe.tcl

........\............\...........\.....\...............\.........\run.csh

........\............\...........\.....\...............\.........\tb_src_files.lst

........\............\...........\.....\...............\README

........\............\...........\.....\...............\stimulus.inc

........\............\...........\.....\multi_ow_network

........\............\...........\.....\................\nc_rundir

........\............\...........\.....\................\.........\cds.lib

........\............\...........\.....\................\.........\design_verilog_src_files.lst

........\............\...........\.....\................\.........\design_vhdl_src_files.lst

........\............\...........\.....\................\.........\hdl.var

........\............\...........\.....\................\.........\ncsim.key

........\............\...........\.....\................\.........\probe.tcl

........\............\...........\.....\................\.........\run.csh

........\............\...........\.....\................\.........\tb_src_files.lst

........\............\...........\.....\................\README

........\............\...........\.....\................\stimulus.inc

........\............\...........\.....\scratchpad_integrity

........\............\...........\.....\....................\nc_rundir

........\............\...........\.....\....................\.........\cds.lib

........\............\...........\.....\....................\.........\design_verilog_src_files.lst

........\............\...........\.....\....................\.........\design_vhdl_src_files.lst

........\............\...........\.....\....................\.........\hdl.var

........\............\...........\.....\....................\.........\ncsim.key

........\............\...........\.....\....................\.........\probe.tcl

........\

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org