文件名称:V35interface-communicate

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [HTML]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1.26mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 汪*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

V.35接口与E1接口之间转换的基本原理,介绍了E1信道分时隙通信的基本过程,叙述了基于FPGA用VHDL和QuartusII来仿真本系统设计与实现的过程。-V.35 interface and E1 interface to convert between the basic principles of E1 channel introduces the basic process of communication sub-time slot, described by VHDL and FPGA-based QuartusII to simulate the system design and implementation process.
相关搜索: v
35
FPGA

(系统自动生成,下载前可以参看下载内容)

下载文件列表

V35程序\E1滑码程序.rar

.......\V35!\db\altsyncram_8kj1.tdf

.......\....\..\altsyncram_mkj1.tdf

.......\....\..\a_dpfifo_3001.tdf

.......\....\..\a_dpfifo_6it.tdf

.......\....\..\a_fefifo_08f.tdf

.......\....\..\cntr_bc7.tdf

.......\....\..\cntr_td8.tdf

.......\....\..\dpram_cat.tdf

.......\....\..\dpram_jat.tdf

.......\....\..\scfifo_9m01.tdf

.......\....\..\scfifo_spv.tdf

.......\....\..\V35.analyze_file.qmsg

.......\....\..\V35.asm.qmsg

.......\....\..\V35.cbx.xml

.......\....\..\V35.cmp.cdb

.......\....\..\V35.cmp.hdb

.......\....\..\V35.cmp.kpt

.......\....\..\V35.cmp.logdb

.......\....\..\V35.cmp.rdb

.......\....\..\V35.cmp.tdb

.......\....\..\V35.cmp0.ddb

.......\....\..\V35.dbp

.......\....\..\V35.db_info

.......\....\..\V35.eco.cdb

.......\....\..\V35.fit.qmsg

.......\....\..\V35.hier_info

.......\....\..\V35.hif

.......\....\..\V35.map.cdb

.......\....\..\V35.map.hdb

.......\....\..\V35.map.logdb

.......\....\..\V35.map.qmsg

.......\....\..\V35.pre_map.cdb

.......\....\..\V35.pre_map.hdb

.......\....\..\V35.psp

.......\....\..\V35.rtlv.hdb

.......\....\..\V35.rtlv_sg.cdb

.......\....\..\V35.rtlv_sg_swap.cdb

.......\....\..\V35.sgdiff.cdb

.......\....\..\V35.sgdiff.hdb

.......\....\..\V35.signalprobe.cdb

.......\....\..\V35.sld_design_entry.sci

.......\....\..\V35.sld_design_entry_dsc.sci

.......\....\..\V35.syn_hier_info

.......\....\..\V35.tan.qmsg

.......\....\fifo.bsf

.......\....\fifo.vhd

.......\....\fifo0.bsf

.......\....\fifo0.cmp

.......\....\fifo0.vhd

.......\....\fifo0_wave0.jpg

.......\....\fifo0_waveforms.html

.......\....\TOE1.bsf

.......\....\TOE1.vhd

.......\....\TOV35.bsf

.......\....\TOV35.vhd

.......\....\V35.asm.rpt

.......\....\V35.bdf

.......\....\V35.done

.......\....\V35.dpf

.......\....\V35.fit.rpt

.......\....\V35.fit.smsg

.......\....\V35.fit.summary

.......\....\V35.flow.rpt

.......\....\V35.map.rpt

.......\....\V35.map.summary

.......\....\V35.pin

.......\....\V35.pof

.......\....\V35.qpf

.......\....\V35.qsf

.......\....\V35.qws

.......\....\V35.sof

.......\....\V35.tan.rpt

.......\....\V35.tan.summary

.......\....\db

.......\V35!

V35程序

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org