文件名称:hdlc_encode

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 4.41mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 栾*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于Verilog的HDLC解码器。输出外接485进行差分输出。-HDLC-based Verilog decoder. Output of an external differential output 485.
相关搜索: hdlc
verilog

(系统自动生成,下载前可以参看下载内容)

下载文件列表

hdlc_encode\db\hdlc_encode.asm.qmsg

...........\..\hdlc_encode.cbx.xml

...........\..\hdlc_encode.cmp.bpm

...........\..\hdlc_encode.cmp.cdb

...........\..\hdlc_encode.cmp.ecobp

...........\..\hdlc_encode.cmp.hdb

...........\..\hdlc_encode.cmp.logdb

...........\..\hdlc_encode.cmp.rdb

...........\..\hdlc_encode.cmp.tdb

...........\..\hdlc_encode.cmp0.ddb

...........\..\hdlc_encode.db_info

...........\..\hdlc_encode.eco.cdb

...........\..\hdlc_encode.eda.qmsg

...........\..\hdlc_encode.fit.qmsg

...........\..\hdlc_encode.hier_info

...........\..\hdlc_encode.hif

...........\..\hdlc_encode.map.bpm

...........\..\hdlc_encode.map.cdb

...........\..\hdlc_encode.map.ecobp

...........\..\hdlc_encode.map.hdb

...........\..\hdlc_encode.map.logdb

...........\..\hdlc_encode.map.qmsg

...........\..\hdlc_encode.map_bb.cdb

...........\..\hdlc_encode.map_bb.hdb

...........\..\hdlc_encode.map_bb.hdbx

...........\..\hdlc_encode.map_bb.logdb

...........\..\hdlc_encode.pre_map.cdb

...........\..\hdlc_encode.pre_map.hdb

...........\..\hdlc_encode.psp

...........\..\hdlc_encode.root_partition.cmp.atm

...........\..\hdlc_encode.root_partition.cmp.dfp

...........\..\hdlc_encode.root_partition.cmp.hdbx

...........\..\hdlc_encode.root_partition.cmp.logdb

...........\..\hdlc_encode.root_partition.cmp.rcf

...........\..\hdlc_encode.root_partition.map.atm

...........\..\hdlc_encode.root_partition.map.hdbx

...........\..\hdlc_encode.root_partition.map.info

...........\..\hdlc_encode.rtlv.hdb

...........\..\hdlc_encode.rtlv_sg.cdb

...........\..\hdlc_encode.rtlv_sg_swap.cdb

...........\..\hdlc_encode.sgdiff.cdb

...........\..\hdlc_encode.sgdiff.hdb

...........\..\hdlc_encode.signalprobe.cdb

...........\..\hdlc_encode.sld_design_entry.sci

...........\..\hdlc_encode.sld_design_entry_dsc.sci

...........\..\hdlc_encode.smp_dump.txt

...........\..\hdlc_encode.syn_hier_info

...........\..\hdlc_encode.tan.qmsg

...........\..\hdlc_encode.tis_db_list.ddb

...........\..\hdlc_encode.tmw_info

...........\..\prev_cmp_hdlc_encode.asm.qmsg

...........\..\prev_cmp_hdlc_encode.eda.qmsg

...........\..\prev_cmp_hdlc_encode.fit.qmsg

...........\..\prev_cmp_hdlc_encode.map.qmsg

...........\..\prev_cmp_hdlc_encode.qmsg

...........\..\prev_cmp_hdlc_encode.tan.qmsg

...........\hdlc_encode.asm.rpt

...........\hdlc_encode.done

...........\hdlc_encode.eda.rpt

...........\hdlc_encode.fit.rpt

...........\hdlc_encode.fit.smsg

...........\hdlc_encode.fit.summary

...........\hdlc_encode.flow.rpt

...........\hdlc_encode.map.rpt

...........\hdlc_encode.map.smsg

...........\hdlc_encode.map.summary

...........\hdlc_encode.pin

...........\hdlc_encode.pof

...........\hdlc_encode.qpf

...........\hdlc_encode.qsf

...........\hdlc_encode.qws

...........\hdlc_encode.sof

...........\hdlc_encode.tan.rpt

...........\hdlc_encode.tan.summary

...........\hdlc_encode.v

...........\hdlc_encode.v.bak

...........\simulation\hdlc_encode.cr.mti

...........\..........\hdlc_encode.mpf

...........\..........\modelsim\111111

...........\..........\........\altera_mf.v

...........\..........\........\cyclone_atoms.v

...........\..........\........\hdlc_encode.cr.mti

...........\..........\........\hdlc_encode.mpf

...........\..........\........\hdlc_encode.sft

...........\..........\........\hdlc_encode.vo

...........\..........\........\hdlc_encode_modelsim.xrf

...........\..........\........\hdlc_encode_v.sdo

...........\..........\........\top.v

...........\..........\........\transcript

...........\..........\........\vsim.wlf

...........\..........\........\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\verilog.asm

...........\..........\........\....\..........................................\_primary.dat

...........\..........\........\....\..........................................\_primary.vhd

...........\..........\........\....\.c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e\verilog.asm

...........\..........\........\....\................................\_primary.dat

...........\..........\........\....\................................\_primary.vhd

...........\..........\........\....\.m@f_pll_reg\verilog.asm

...

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org