文件名称:VHDL-control-programe

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 42kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 张*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

VHDL 数字控制系统设计的软件库,这里包含了该书全套的代码,可为初学者学习参考用-VHDL design of digital control system and software library, contains a book full of code that can be used as a reference for beginners to learn
相关搜索: 设计
全套
数字控制

(系统自动生成,下载前可以参看下载内容)

下载文件列表

ALARM_SET.VHD

ASCII_ROM.VHD

BCD3.VHD

BCD.VHD

BCD_7SEG.VHD

BCD_ADD_SUB.VHD

BCD_MUX.VHD

BCDADD.VHD

BIN2LED.VHD

CAL.VHD

CLK_GEN.VHD

CODE_TRAN.VHD

COLA.ER

COLA.VHD

COM9S.VHD

COM_ENCODE.VHD

COMCOUN.VHD

COUNT24.VHD

COUNT60.VHD

COUNT_DOWN.VHD

DEBOUNCE.VHD

DIV1024.VHD

DIVIDER.VHD

DOWNCNT.VHD

FADD4.VHD

FADD.VHD

HEX_FONT.VHD

I24BCD.VHD

I60BCD.VHD

KEY_SCAN.VHD

KEYBOARD.VHD

LEDSCAN.VHD

MOTORCTRL.VHD

MULTIPLIER.VHD

MUX2TO1.VHD

MY_PACKAGE.VHD

MY_PKG.ER

MY_PKG.VHD

NEGATIVE.VHD

REGNE.VHD

S95.LOG

SCAN2.VHD

SCAN4.VHD

SCAN8.VHD

SCAN8_DIG.VHD

SCAN8_LINE.VHD

SCAN_1DIG.VHD

SCAN_COUNT.VHD

SCAN_GEN.VHD

SETP_MOTOR.VHD

SHIFTLNE.VHD

SHIFTRNE.VHD

STOP_WATCH.VHD

TRAFFIC.VHD

TRAFFIC_FSM.VHD

TRAFFIC_LIB.VHD

TRAFFIC_MUX.VHD

VHDL.TXT

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org