文件名称:fenpin

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 耙**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

利用单片机的计数器来制作简易分频计,因为P1^0用来模拟外界波形输入,它提供周期为100ms的方波。与T1管脚相连后,T1可对其进行周期计数。 程序中的变量TL1决定着分频系数,其值乘以2即为分频系数。

改变其值可以得到相应的分频输出波形(方波)。

     P1^1为输出管脚,将其连接示波器可以看到分频后的波形。-To make use of simple single-chip counter frequency meter, because P1 ^ 0 input waveforms used to simulate the outside world, it provides a period of 100ms square wave. After the pin is connected to T1, T1 can be cycle counted. TL1 variables in the program determines the frequency factor is multiplied by 2 is the frequency factor. Change its value can be divided by the corresponding output waveform (square wave). P1 ^ 1 for the output pin, connect the oscilloscope to see the waveform divided.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

fenpin.c

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org