文件名称:Example-b8-1

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 4.62mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 张*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

利用硬件可编程语言现学习使用ModelSim对Altera设计进行功能仿真的简单操作步骤-use VHDL language
(系统自动生成,下载前可以参看下载内容)

下载文件列表

Example-b8-1

............\Altera_lib_files

............\................\220model.txt

............\................\220model.v

............\................\220model.vhd

............\................\220model_87.vhd

............\................\220pack.vhd

............\................\altera_mf.txt

............\................\altera_mf.v

............\................\altera_mf.vhd

............\................\altera_mf_87.vhd

............\................\altera_mf_components.vhd

............\................\stratix_atoms.v

............\................\stratix_atoms.vhd

............\................\stratix_components.vhd

............\func_sim

............\........\dpram8x32.v

............\........\func_sim.cr.mti

............\........\func_sim.mpf

............\........\func_sim_wave.wlf

............\........\pllx2.v

............\........\pll_ram.v

............\........\pll_ram_tb.v

............\........\transcript

............\........\vsim.wlf

............\........\wave.bmp

............\........\wave.do

............\........\work

............\........\....\dpram8x32

............\........\....\.........\verilog.asm

............\........\....\.........\_primary.dat

............\........\....\.........\_primary.vhd

............\........\....\pllx2

............\........\....\.....\verilog.asm

............\........\....\.....\_primary.dat

............\........\....\.....\_primary.vhd

............\........\....\pll_ram

............\........\....\.......\verilog.asm

............\........\....\.......\_primary.dat

............\........\....\.......\_primary.vhd

............\........\....\pll_ram_tb

............\........\....\..........\verilog.asm

............\........\....\..........\_primary.dat

............\........\....\..........\_primary.vhd

............\........\....\_info

............\pll_ram

............\.......\cmp_state.ini

............\.......\db

............\.......\..\altsyncram_7bc1.tdf

............\.......\..\altsyncram_bvp1.tdf

............\.......\..\pll_ram.asm.qmsg

............\.......\..\pll_ram.cbx.xml

............\.......\..\pll_ram.cmp.cdb

............\.......\..\pll_ram.cmp.hdb

............\.......\..\pll_ram.cmp.kpt

............\.......\..\pll_ram.cmp.logdb

............\.......\..\pll_ram.cmp.rdb

............\.......\..\pll_ram.cmp.tdb

............\.......\..\pll_ram.cmp0.ddb

............\.......\..\pll_ram.db_info

............\.......\..\pll_ram.eco.cdb

............\.......\..\pll_ram.eda.qmsg

............\.......\..\pll_ram.fit.qmsg

............\.......\..\pll_ram.hier_info

............\.......\..\pll_ram.hif

............\.......\..\pll_ram.lpc.html

............\.......\..\pll_ram.lpc.rdb

............\.......\..\pll_ram.lpc.txt

............\.......\..\pll_ram.map.cdb

............\.......\..\pll_ram.map.hdb

............\.......\..\pll_ram.map.logdb

............\.......\..\pll_ram.map.qmsg

............\.......\..\pll_ram.pre_map.cdb

............\.......\..\pll_ram.pre_map.hdb

............\.......\..\pll_ram.rtlv.hdb

............\.......\..\pll_ram.rtlv_sg.cdb

............\.......\..\pll_ram.rtlv_sg_swap.cdb

............\.......\..\pll_ram.sgdiff.cdb

............\.......\..\pll_ram.sgdiff.hdb

............\.......\..\pll_ram.sld_design_entry.sci

............\.......\..\pll_ram.sld_design_entry_dsc.sci

............\.......\..\pll_ram.syn_hier_info

............\.......\..\pll_ram.tan.qmsg

............\.......\..\pll_ram.tis_db_list.ddb

............\.......\..\pll_ram.tmw_info

............\.......\..\pll_ram_cmp.qrpt

............\.......\..\pll_ram_hier_info

............\.......\..\pll_ram_syn_hier_info

............\.......\dpram8x32.v

............\.......\incremental_db

............\.......\..............\compiled_partitions

............\.......\..............\...................\pll_ram.root_partition.map.kpt

............\.......\..............\README

............\.......\pllx2.v

............\.......\pll_ram.asm.rpt

............\.......\pll_ram.done

............\.......\pll_ram.eda.rpt

............\.......\pll_ram.fit.eqn

............\.......\pll_ram.fit.rpt

............\.......\pll_ram.fit.smsg

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org