文件名称:Example-b4-1

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 6.97mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 颜**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

1.  定制一个双端口RAM,DualPortRAM

2.  在顶层工程中实例化这个RAM

3.  实现这个工程,在Quartus II仿真器中做门级仿真

4.  在ModelSim中对这个工程进行RTL级仿真

-Customize a dual port RAM, DualPortRAM

On the top floor of the RAM engineering instantiation

To realize the project, in Quartus II simulation implement in to make the door level simulation

In ModelSim project to the RTL simulation
(系统自动生成,下载前可以参看下载内容)

下载文件列表

Example-b4-1

............\Project

............\.......\db

............\.......\..\altsyncram_9gg1.tdf

............\.......\..\logic_util_heursitic.dat

............\.......\..\prev_cmp_TOP.qmsg

............\.......\..\prev_cmp_TOP.sim.qmsg

............\.......\..\TOP.asm.qmsg

............\.......\..\TOP.asm.rdb

............\.......\..\TOP.cbx.xml

............\.......\..\TOP.cmp.cdb

............\.......\..\TOP.cmp.hdb

............\.......\..\TOP.cmp.kpt

............\.......\..\TOP.cmp.logdb

............\.......\..\TOP.cmp.rdb

............\.......\..\TOP.cmp.tdb

............\.......\..\TOP.cmp0.ddb

............\.......\..\TOP.db_info

............\.......\..\TOP.eco.cdb

............\.......\..\TOP.eds_overflow

............\.......\..\TOP.fit.qmsg

............\.......\..\TOP.hier_info

............\.......\..\TOP.hif

............\.......\..\TOP.lpc.html

............\.......\..\TOP.lpc.rdb

............\.......\..\TOP.lpc.txt

............\.......\..\TOP.map.cdb

............\.......\..\TOP.map.hdb

............\.......\..\TOP.map.logdb

............\.......\..\TOP.map.qmsg

............\.......\..\TOP.pre_map.cdb

............\.......\..\TOP.pre_map.hdb

............\.......\..\TOP.rtlv.hdb

............\.......\..\TOP.rtlv_sg.cdb

............\.......\..\TOP.rtlv_sg_swap.cdb

............\.......\..\TOP.sgdiff.cdb

............\.......\..\TOP.sgdiff.hdb

............\.......\..\TOP.sim.cvwf

............\.......\..\TOP.sim.hdb

............\.......\..\TOP.sim.qmsg

............\.......\..\TOP.sim.rdb

............\.......\..\TOP.sld_design_entry.sci

............\.......\..\TOP.sld_design_entry_dsc.sci

............\.......\..\TOP.smart_action.txt

............\.......\..\TOP.syn_hier_info

............\.......\..\TOP.tan.qmsg

............\.......\..\TOP.tis_db_list.ddb

............\.......\..\wed.wsf

............\.......\DualPortRAM.bsf

............\.......\DualPortRAM.v

............\.......\incremental_db

............\.......\..............\compiled_partitions

............\.......\..............\...................\TOP.root_partition.map.kpt

............\.......\..............\README

............\.......\Simulation

............\.......\..........\altera_mf.v

............\.......\..........\DualPortRAM.v

............\.......\..........\modelsim.ini

............\.......\..........\sim.do

............\.......\..........\TOP.v

............\.......\..........\TOP.vt

............\.......\..........\vsim.wlf

............\.......\..........\wave.do

............\.......\..........\work

............\.......\..........\....\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s

............\.......\..........\....\..........................................\verilog.prw

............\.......\..........\....\..........................................\verilog.psm

............\.......\..........\....\..........................................\_primary.dat

............\.......\..........\....\..........................................\_primary.dbs

............\.......\..........\....\..........................................\_primary.vhd

............\.......\..........\....\@dual@port@r@a@m

............\.......\..........\....\................\verilog.prw

............\.......\..........\....\................\verilog.psm

............\.......\..........\....\................\_primary.dat

............\.......\..........\....\................\_primary.dbs

............\.......\..........\....\................\_primary.vhd

............\.......\..........\....\@m@f_pll_reg

............\.......\..........\....\............\verilog.prw

............\.......\..........\....\............\verilog.psm

............\.......\..........\....\............\_primary.dat

............\.......\..........\....\............\_primary.dbs

............\.......\..........\....\............\_primary.vhd

............\.......\..........\....\@m@f_ram7x20_syn

............\.......\..........\....\................\verilog.prw

............\.......\..........\....\................\verilog.psm

............\.......\..........\....\................\_primary.dat

............\.......\..........\....\...............

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org