文件名称:verilog1

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [VHDL] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 140kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 广*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

用verilog语言编写的6分频分频计数器。分频后用来控制蜂鸣器响,也可以修改代码做成更高分频的计数器。压缩包内也包含此分频器的modelsim仿真文件-Verilog language with 6 frequency divider counter. Frequency and used to control the buzzer sound, you can modify the code to make a higher frequency counter. Compressed package also contains this file divider modelsim simulation
(系统自动生成,下载前可以参看下载内容)

下载文件列表

1----分频

.........\####分频#####

.........\db

.........\..\fp_verilog.asm.qmsg

.........\..\fp_verilog.cbx.xml

.........\..\fp_verilog.cmp.cdb

.........\..\fp_verilog.cmp.hdb

.........\..\fp_verilog.cmp.logdb

.........\..\fp_verilog.cmp.rdb

.........\..\fp_verilog.db_info

.........\..\fp_verilog.eco.cdb

.........\..\fp_verilog.eda.qmsg

.........\..\fp_verilog.fit.qmsg

.........\..\fp_verilog.hier_info

.........\..\fp_verilog.hif

.........\..\fp_verilog.map.cdb

.........\..\fp_verilog.map.hdb

.........\..\fp_verilog.map.logdb

.........\..\fp_verilog.map.qmsg

.........\..\fp_verilog.pre_map.cdb

.........\..\fp_verilog.pre_map.hdb

.........\..\fp_verilog.rpp.qmsg

.........\..\fp_verilog.rtlv.hdb

.........\..\fp_verilog.rtlv_sg.cdb

.........\..\fp_verilog.rtlv_sg_swap.cdb

.........\..\fp_verilog.sgate.rvd

.........\..\fp_verilog.sgate_sm.rvd

.........\..\fp_verilog.sgdiff.cdb

.........\..\fp_verilog.sgdiff.hdb

.........\..\fp_verilog.signalprobe.cdb

.........\..\fp_verilog.sld_design_entry.sci

.........\..\fp_verilog.sld_design_entry_dsc.sci

.........\..\fp_verilog.syn_hier_info

.........\..\fp_verilog.tan.qmsg

.........\..\fp_verilog.tis_db_list.ddb

.........\..\prev_cmp_fp_verilog.asm.qmsg

.........\..\prev_cmp_fp_verilog.eda.qmsg

.........\..\prev_cmp_fp_verilog.fit.qmsg

.........\..\prev_cmp_fp_verilog.map.qmsg

.........\..\prev_cmp_fp_verilog.qmsg

.........\..\prev_cmp_fp_verilog.tan.qmsg

.........\fp_verilog.asm.rpt

.........\fp_verilog.done

.........\fp_verilog.eda.rpt

.........\fp_verilog.fit.rpt

.........\fp_verilog.fit.smsg

.........\fp_verilog.fit.summary

.........\fp_verilog.flow.rpt

.........\fp_verilog.map.rpt

.........\fp_verilog.map.summary

.........\fp_verilog.pin

.........\fp_verilog.pof

.........\fp_verilog.qpf

.........\fp_verilog.qsf

.........\fp_verilog.qws

.........\fp_verilog.tan.rpt

.........\fp_verilog.tan.summary

.........\fp_verilog.v

.........\fp_verilog.v.bak

.........\fp_verilog_nativelink_simulation.rpt

.........\simulation

.........\..........\modelsim

.........\..........\........\fp_verilog.sft

.........\..........\........\fp_verilog.vo

.........\..........\........\fp_verilog.vt

.........\..........\........\fp_verilog.vt.bak

.........\..........\........\fp_verilog_modelsim.xrf

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak1

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak10

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak11

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak2

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak3

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak4

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak5

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak6

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak7

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak8

.........\..........\........\fp_verilog_run_msim_rtl_verilog.do.bak9

.........\..........\........\fp_verilog_v.sdo

.........\..........\........\modelsim.ini

.........\..........\........\msim_transcript

.........\..........\........\rtl_work

.........\..........\........\........\fp_verilog

.........\..........\........\........\..........\verilog.psm

.........\..........\........\........\..........\_primary.dat

.........\..........\........\........\..........\_primary.vhd

.........\..........\........\........\fp_verilog_vlg_tst

.........\..........\........\........\..................\verilog.psm

.........\..........\........\........\..................\_primary.dat

.........\..........\........\........\..................\_primary.vhd

.........\..........\........\........\_info

.........\..........\........\vsim.wlf

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org