文件名称:EDA

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [ASM] [源码]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 614kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 邓**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

EDA教程实用技术,基于VHDL的八位数字全加器-EDA tutorials and practical techniques, VHDL-based eight-digit full adder
(系统自动生成,下载前可以参看下载内容)

下载文件列表

EDA\adder\h_adder.qpf

...\.....\h_adder.qsf

...\.....\db\h_adder.db_info

...\.....\..\h_adder.fit.qmsg

...\.....\..\h_adder.cmp.logdb

...\.....\..\h_adder.rtlv_sg_swap.cdb

...\.....\..\h_adder.asm.qmsg

...\.....\..\h_adder_cmp.qrpt

...\.....\..\h_adder.cbx.xml

...\.....\..\h_adder.hif

...\.....\..\h_adder.hier_info

...\.....\..\h_adder.rpp.qmsg

...\.....\..\h_adder.pre_map.hdb

...\.....\..\h_adder.rtlv_sg.cdb

...\.....\..\h_adder.pre_map.cdb

...\.....\..\h_adder.rtlv.hdb

...\.....\..\h_adder.map.logdb

...\.....\..\h_adder.psp

...\.....\..\h_adder.map.cdb

...\.....\..\h_adder.tan.qmsg

...\.....\..\h_adder.eda.qmsg

...\.....\..\h_adder.sgdiff.hdb

...\.....\..\h_adder.syn_hier_info

...\.....\..\h_adder.sgdiff.cdb

...\.....\..\h_adder.map.qmsg

...\.....\..\h_adder.sgate.rvd

...\.....\..\h_adder.signalprobe.cdb

...\.....\..\h_adder.sim.qmsg

...\.....\..\h_adder.sim.hdb

...\.....\..\h_adder.map.hdb

...\.....\..\h_adder.sim.vwf

...\.....\..\h_adder.sld_design_entry_dsc.sci

...\.....\..\h_adder.cmp.cdb

...\.....\..\h_adder.cmp.hdb

...\.....\..\h_adder.cmp0.ddb

...\.....\..\h_adder.cmp.tdb

...\.....\..\h_adder.asm_labs.ddb

...\.....\..\h_adder.cmp.rdb

...\.....\..\h_adder.cmp2.ddb

...\.....\..\h_adder.sim.rdb

...\.....\..\h_adder.eds_overflow

...\.....\..\h_adder.eco.cdb

...\.....\..\h_adder_sim.qrpt

...\.....\..\h_adder.sld_design_entry.sci

...\.....\..\h_adder.atom.rvd

...\.....\h_adder.map.eqn

...\.....\h_adder.map.rpt

...\.....\h_adder.flow.rpt

...\.....\h_adder.map.summary

...\.....\h_adder.fit.eqn

...\.....\h_adder.pin

...\.....\h_adder.fit.rpt

...\.....\h_adder.fit.summary

...\.....\h_adder.sof

...\.....\h_adder.pof

...\.....\h_adder.asm.rpt

...\.....\h_adder.tan.summary

...\.....\h_adder.tan.rpt

...\.....\simulation\modelsim\h_adder_modelsim.xrf

...\.....\..........\........\h_adder.vho

...\.....\..........\........\h_adder_vhd.sdo

...\.....\timing\primetime\h_adder.vho

...\.....\......\.........\h_adder_vhd.sdo

...\.....\......\.........\h_adder_pt_vhd.tcl

...\.....\h_adder.eda.rpt

...\.....\h_adder.done

...\.....\h_adder.inc

...\.....\h_adder.bsf

...\.....\h_adder_description.txt

...\.....\h_adder.qws

...\.....\cmp_state.ini

...\.....\h_adder.fld

...\.....\h_adder.vwf

...\.....\h_adder.sim.rpt

...\f_adder\f_adder.qpf

...\.......\f_adder.qsf

...\.......\db\f_adder.db_info

...\.......\..\f_adder.fit.qmsg

...\.......\..\f_adder.rtlv_sg_swap.cdb

...\.......\..\f_adder.asm.qmsg

...\.......\..\f_adder.tan.qmsg

...\.......\..\f_adder_cmp.qrpt

...\.......\..\f_adder.cbx.xml

...\.......\..\f_adder.pre_map.hdb

...\.......\..\f_adder.eda.qmsg

...\.......\..\f_adder.cmp.logdb

...\.......\..\f_adder.pre_map.cdb

...\.......\..\f_adder.rtlv.hdb

...\.......\..\f_adder.sim.qmsg

...\.......\..\f_adder.sim.hdb

...\.......\..\f_adder.eds_overflow

...\.......\..\f_adder.sim.vwf

...\.......\..\f_adder.sim.rdb

...\.......\..\f_adder_sim.qrpt

...\.......\..\f_adder.map.qmsg

...\.......\..\f_adder.sgdiff.hdb

...\.......\..\f_adder.map.cdb

...\.......\..\f_adder.map.hdb

...\.......\..\f_adder.rtlv_sg.cdb

...\.......\..\f_adder.rpp.qmsg

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org