文件名称:qi-duan-yi-ma-qi

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [WORD]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 3kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • xul***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

  七段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA\CPLD中来实现。本实验作为7段译码器,输出信号LED7S的7位分别是g、f、e、d、c、b、a,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别为1、1、0、1、1、1、0、1。接有高电平段发亮,于是数码管显示“5”。-Seven-Segment is a pure combinational circuit, usually small-scale special IC, such as 74 or 4000 Series devices only for decimal BCD decoding, digital systems, however data processing and operations are binary, so the output expression hexadecimal, in order to meet the decoding of the hex number display, the most convenient way is to use a decoding program to implement in the FPGA \ CPLD. In this study, as a 7-segment decoder, the output signal LED7S 7 g, f, e, d, c, b, a, high in the left, low on the right. For example, when LED7S output for " 1101101" digital tube 7 paragraph g, f, e, d, c, b, a, respectively 1,1,0,1,1,1,0,1. Access the high level segment shiny, so the digital display " 5" .
(系统自动生成,下载前可以参看下载内容)

下载文件列表

七段数码显示译码器设计.doc

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org